UDK 621,3:(53+54+621 +66)(05)(497.1 )=00 ISSN 0352-9045 Strokovno društvo za mikroelektroniko elektronske sestavne dele in materiale Časopis za mikroelektroniko, elektronske sestavne dele in materiale Časopis za mikroelektroniku, elektronske sastavne dijelove i materijale Journal of Microelectronics, Electronic Components and Materials INFORMACIJE MIDEM, LETNIK 25, ŠT. 3(75), LJUBLJANA, september 1995 ... -v \m U' m INFORMACIJE MIDEM 3° 1995 INFORMACIJE MIDEM LETNIK 25, ŠT. 3(75), LJUBLJANA, SEPTEMBER 1995 INFORMACIJE MIDEM GODINA 25, BR. 3(75), LJUBLJANA, SEPTEMBAR 1995 INFORMACIJE MIDEM VOLUME 25, NO. 3(75), LJUBLJANA, SEPTEMBER 1995 Izdaja trimesečno (marec, junij, september, december) Strokovno društvo za mikroelektroniko, elektronske sestavne dele in materiale. Izdaja tromjesečno (mart, jun, septembar, decembar) Stručno društvo za mikroelektroniku, elektronske sastavne dijelove i materiale. Published quarterly (march, june, september, december) by Society for Microelectronics, Electronic Components and Materials ■ MIDEM. Glavni in odgovorni urednik Glavni i odgovorni urednik Editor In Chief Tehnični urednik Tehnički urednik Executive Editor Uredniški odbor Redakcioni odbor Executive Editorial Board Časopisni svet Izdavački savet International Advisory Board Naslov uredništva Adresa redakcije Headquarters mag. Iztok Šorli, dipl.ing., M1KROIKS d.o.o., Ljubljana mag. Iztok Šorli, dipl. ing. Doc. dr. Rudi Babič, dipl.ing., Tehniška fakulteta Maribor Dr.Rudi Ročak, dipl.ing., MIKROIKS d.o.o., Ljubljana mag.Milan Slokan, dipl.ing., MIDEM, Ljubljana Zlatko Bele, dipl.ing., MIKROIKS d.o.o., Ljubljana Miroslav Turina, dipl.ing., Zagreb mag. Meta Limpel, dipl.ing., MIDEM, Ljubljana Miloš Kogovšek, dipl.ing., Iskra INDOK d.o.o., Ljubljana Prof. dr. Slavko Amon, dipl.ing., Fakulteta za elektrotehniko in računalništvo, Ljubljana, PREDSEDNIK Prof. dr. CorClaeys, IMEC, Leuven Dr. Jean-Marie Haussonne, C.N.E.T. Centre LAB, Lannion Dr. Marko Hrovat, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Zvonko Fazarinc, dipl.ing., CIS, Stanford University, Stanford, USA Dr. Marija Kosec, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof.dr.Drago Kolar, dipl.ing., Inštitut Jožef Stefan, Ljubljana RNDr. DrSc. Radomir Kužel, Charles University, Prague Dr. Giorgio Randone, ITALTEL S.I.T. spa, Milano Prof.dr. Stane Pejovnik, dipl.ing., Kemijski inštitut Boris Kidrič, Ljubljana Dr. Wolfgang Pribyl, SIEMENS EZM, Villach, Österreich Dr. Giovanni Soncini, University of Trento, Trento Prof.dr. Janez Trontelj, dipl.ing., Fakulteta za elektrotehniko in računalništvo, Ljubljana Dr. Anton Zalar, dipl.ing., IEVT, Ljubljana Dr. Peter Weissglas, Swedish Institute of Microelectronics, Stockholm Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 61000 Ljubljana, Slovenija (0)61 -316 886 Letna naročnina znaša 12.000,00 SIT, cena posamezne številke je 3000,00 SIT. Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Godišnja pretplata iznosi 12.000,00 SIT, cijena pojedinog broja je 3000,00 SIT. Članovi i sponzori MIDEM primaju Informacije MIDEM besplatno. Annual subscription rate is DEM 200, separate issue is DEM 50, MIDEM members and Society sponsors receive Informacije MIDEM for free. Znanstveni svet za tehnične vede I je podal pozitivno mnenje o časopisu kot znanstveno strokovni reviji za mikroelektroniko, elektronske sestavne dele In materiale. Izdajo revije sofinanci rajo Ministrstvo za znanost in tehnologijo in sponzorji društva. Scientific Council for Technical Sciences of Slovene Ministry of Science and Technology has recognized Informacije MIDEM as scientific Journal for microelectronics, electronic components and materials. Publishing of the Journal is financed by Slovene Ministry of Science and Technology and by Society sponsors. Znanstveno strokovne prispevke objavljene v Informacijah MIDEM zajemamo v: 4 domačo bazo podatkov ISKRA SAIDC-el, kakor tudi * v tujo bazo podatkov INSPEC Prispevke iz revije zajema ISI® v naslednje svoje produkte: Sci Search®, Research Alert® in Materials Science Citation Index™ Scientific and professional papers published in Informacije MIDEM are assessed into: * domestic data base ISKRA SAIDC-el and * foreign data base INSPEC The Journal is indexed by ISI® for Sci Search®, Research Alert® and Material Science Citation Index™ Po mnenju Ministrstva za informiranje št.23/300-92 šteje glasilo Informacije MIDEM med proizvode informativnega značaja, za katere se plačuje davek od prometa proizvodov po stopnji 5 %. Grafična priprava in tisk BIRO M, Ljubljana Grafička priprema i štampa Printed by Naklada 1000 izvodov Tiraž 1000 primjeraka Circulation 1000 issues UDK621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 25(1995)3, Ljubljana R. Ročak: Volitve v organe društva MIDEM 180 R. Roèak: Elections of MIDEM Society Bodies Members ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS C. Claeys, E. Simoen, J. Vanhellemont: Učinki sevanja na silicijeve elektronske sestavne dele namenjeni uporabi v vesolju 181 C. Claeys, E. Simoen, J. Vanhellemont: Radiation Effects in Silicon Components for Space Applications B. Gspan, R. Osredkar: Osnove računalniškega modeliranja procesnega koraka planarizacije 190 B. Gspan, R. Osredkar: Physical Foundations of Computer Modelling of Planarization Processes A. Cvelbar, P. Panjan, B. Navinšek, B. Zorko, M. Budnar: Toplotno vzpodbujene interakcije v dvoplastnih in večplastnih zgradbah vsebujočih nikelj in silicij med enakomernim segrevanjem 198 A. Cvelbar, P. Panjan, B. Navinèek, B. Zorko, M. Budnar: Thermally Stimulated Interactions in Bilayers and Multilayers Containing Ni and Si During a Temperature Ramp J. Holz: Debeloplastni kemijski senzorji 205 J, Holz: Thick Film Chemical Sensors D. Ročak, M. Zupan, V. Tadič, V. Stopar: Zamenjava CFC topil z novimi fluksl "brez ostankov" ali pa z novimi topili za čiščenje elektronskih vezij po spajkanju 209 D. Roèak, M. Zupan, V. Tadiö, V. Stopar: Replacement of CFC Solvents by New "NO CLEAN" Fluxes or New Solvents for Electronic Circuit Cleaning after Soldering A. Tavčar: Optimizacija parametrov injekcijskega brizganja keramike 214 A. Tavôar: Parameters' Optimization of the Injection Moulding of Ceramics UPORABA ELEKTRONSKIH KOMPONENT APPLICATION OF ELECTRONIC COMPONENTS V. Murko: Prenapetostna zaščita v telefoniji 218 V. Murko: Overvoltage and Lightning Protection Components in Telecommunications PRIKAZI DOGODKOV, DEJAVNOSTI ČLANOV MIDEM IN DRUGIH INSTITUCIJ REPRESENT OF EVENTS, ACTIVITIES OF MIDEM MEMBERS AND OTHER INSTITUTIONS Prikaz dejavnosti ISI® - The Institute of Scientific Information 226 We present ISI® - The Institute of Scientific Information P. Goodrich, F. Lupoe: Oskrba, upravljanje in nadzor nad nevarnimi snovmi s strani dobavitelja 235 P. Goodrich, F. Lupoe: Vendor Managed Services - "A Partnership for the Environment" PREDSTAVLJAMO PODJETJE Z NASLOVNICE SEMCOTEC, Austria 237 REPRESENT OF COMPANY FROM FRONT PAGE SEMCOTEC, Austria KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA CONFERENCES, COLLOQUYUMS, SEMINARS, REPORTS U. Delalut, B. Mallč: Poletna šola o tehnologiji materialov za feroelektrične mikrosenzorje, mlkroaktuatorje in mlkorelektronske komponente 243 U. Delalut, B. Maliö: Summer School on Materials Technology for Ferroelectric Microsensors, Microactuators and Microelectronics Components B. Malič: Osma mednarodna delavnica o steklih in keramiki gelov 244 B. Malie : 8th International Workshop on Glasses and Ceramics from Gels VESTI 244 NEWS KOLEDAR PRIREDITEV 248 CALENDAR OF EVENTS Volitve v organe društva MIDEM - volilni listič 249 Elections of New Members in MIDEM Bodies - Electoral leaf MIDEM prijavnica 251 MIDEM Registration Form TERMINOLOŠKI STADNARDI TERMINOLOGICAL STANDARDS Slika na naslovnici: Naročniški del PCM-4 sistema firme SEMCOTEC Frontpage: SEMCOTEC'S PCM-4 Remote Unit VSEBINA CONTENT I Volitve v organe društva MIDEM V Portorožu, oktobra 1992, smo izvolili organe društva v sedanji sestavi. Leta so se hitro zavrtela in zopet smo pred novimi volitvami, saj je v skladu s statutom društva mandatna doba vseh organov in funkcij tri leta z možnostjo ponovne izvolitve. Glede na to, da je MIDEM mednarodno društvo (okoli 30% članov ni državljanov Republike Slovenije), in ker Izvršilni odbor želi tudi tujim članom omogočiti aktivno udeležbo na volitvah, se je na svoji seji dne 26.10.1995 odločil izvesti pisne volitve. Volili bomo člane naslednjih organov društva MIDEM: " Predsednika društva Na strani 249 pričujočega izvoda revije " Informacije MIDEM" boste našli volilni listič s seznamom imen kandidatov za posamezne funkcije in člane organov društva MIDEM. Prosimo, da listič izpolnite in ga vrnete na naslov društva. Obveščamo tudi vse tiste člane, ki želijo prebrati STA 'TUT društva MIDEM, da je le-ta na vpogled pri Iztoku Šorliju (MIKROIKS d.o.o., Dunajska 5, Ljubljana, tel. 061 312 898), oz. je bil v celoti objavljen v reviji "Informacije MIDEM" 22(1992)4. In Portorož, in October 1992, the present members of MIDEM Society Bodies were elected. Years pass and again, new elections are to be held before the end of this year. According to MIDEM Statute all functions and mandate duration is being 3 years by the possibility of reelection. MIDEM is an international Society (about 30% of its members are from abroad) and since Executive Board wishes to give the opportunity of vote also to the foreign members, it decided to perform written elections. The following MIDEM Bodies Members will be elected: On page 249 of this issue of Journal "Informacije MIDEM" You can find electoral leaf with the list of candidates for MIDEM Bodies. Please, return the leaf to MIDEM address. Those who want to read the STATUTE of MIDEM Society are welcome to call Iztok Šorli (MIKROIKS d.o.o., Dunajska 5, 61000 Ljubljana, tel.+386 61 312 898) who will make it available for You. As well, in Journal "Informacije MIDEM" 22(1992)4, full text in Slovene and English language was published. Izvršilni odbor (15 članov) Nadzorni odbor (3 člani) Častno razsodišče (3 člani) Elections of MIDEM Society Bodies Members MIDEM Society President Executive Board (15 members) Supervisory Board (3 members) Court of Honour (3 members) MIDEM Society President Dr. Rudolf Ročak 180 UDK621,3:(53 + 54+621 +66), ISSN 0352-9045 Informacije MIDEM 25(1995)3, Ljubljana RADIATION EFFECTS IN SILICON COMPONENTS FOR SPACE APPLICATIONS C. Claeys, E. Simoen and J. Vanhellemont IMEC, Leuven, Belgium Keywords: semiconductors,radiation effects, silicon components, space applications, device physics, radiation hardness, material science, MOS devices, CMOS devices, submicron CMOS, bipolar components, CCD, Charge Coupled Devices, SOI, Silicon-On-lnsulator, JFET transistors, defect engineering, gettering, noise performance, ionization damages, displacementdamages, semiconductortechnologies, bipolartechnologies, bipolar devices, Si-Si02 interfaces, threshold voltage, silicon technologies, burnout, SEB, Single Event Burnout, MOSFET deficiencies, JFET transistors, TEOS, Tetra-Ethil Ortho-Silicate glass Abstract: Radiation effects are of crucial importance for space applications, which are a growing niche market of microelectronics. This paper reviews the impact of both ionising and bulk damage effects on the electrical performance of microelectronic silicon components, including Silicon-on-lnsulator technologies (SOI), Charge Coupled Devices (CCDs), submicron CMOS, and standard and advanced bipolar components. Beside an overview of the general device characteristics, information will also be given on the underlying physical models. Some measures for obtaining radiation-hard devices are briefly addressed. Učinki sevanja na silicijeve elektronske sestavne dele namenjeni uporabi v vesolju Ključne besede: polprevodniki, učinki sevanja, deli sestavni silicijevi, uporaba v vesolju, fizika naprav, odpornost proti sevanju, znanost o materialih, MOS naprave, CMOS naprave, CMOS submikronskl, deli sestavni bipolarni, CCD naprave, SOI tehnologije siliclj-na-izolantu, JFET transistorjl, Inženiring hib, getranje, zmogljivost šumna, poškodbe ionizacijske, poškodbe premestitve v mreži atomski, tehnologije polprevodnikov, tehnologije bipolarne, naprave bipolarne, Sl-Si02 plasti vmesne, napetost pragovna, tehnologije silicija, izgoretje, SEB izgoretje posamično, MOSFET poškodbe, JFET transistorjl, TEOS steklo Povzetek: Učinki sevanja na silicijeve elektronske sestavne dele so bistvenega pomena za uporabo komponent v vesolju, kar postaja vse bolj rastoči trg uporabe mikroelektronike. V prispevku pregledno opisujemo efekte ionizirajočih in notranjih poškodb na električne karakteristike mikroelektronskih sestavnih delov na siliciju vključujoč tehnologije SOI (Silicon-on-lnsulator), CCD (Charge Coupled Devices), submikronski CMOS ter standardne In napredne bipolarne tehnologije. Poleg pregleda splošnih električnih karakteristik komponent podajamo tudi ustrezni opis fizikalnih mehanizmov. Na kratko opišemo korake, s pomočjo katerih lahko izdelamo komponente, ki so odporne proti sevanju. 1. INTRODUCTION About 25% of the Military/Aerospace integrated circuit market is taken by radiation-hard devices, with an increasing importance of the space applications. Major microelectronics applications in a spacecraft are related to telecommunications, scientific instrumentation, data handling, imaging, earth observation, and teledetec-tion. The general microelectronic trends to increase the packing density, to enhance the circuit functionality, and to reduce the power consumption are also becoming important in this field. However, it is well-known that advanced processing steps used in submicron silicon technologies make these technologies more vulnerable to radiation effects so that the required hardness levels can only be obtained by both the implementation of radiation hard process modules and the optimisation at the design level. This paper will mainly focus on the impact of the technological parameters on the radiation hardness. The space environment consists of a large variety of particles such as electrons, neutrons, protons, heavy particles, X-rays, y-rays ... with energies ranging from a few keV to GeV. The amount of irradiation encountered by the devices depends on a variety of parameters such as the amount of shielding, the altitude of the polar orbit in combination with the influence of well-known space phenomena such as the Von Allen belts, solar flares, and the South Atlantic Anomalies /1/. Therefore the on-earth simulation of the space environment remains very difficult and is mainly restricted to the simulation of the impact of some dedicated irradiation particles. Depending on the type of the irradiating particles one has to differentiate between respectively ionising irradiation (e.g. y irradiations), mainly causing irradiation damage in the silicon dioxide layer and at the Si-SiC>2 interface, and irradiation resulting in displacement damage (e.g. protons, neutrons and electrons) in the semiconductor lattice. In general, the impact of irradiation on the electrical performance will be revealed by a change of the threshold voltage, a reduction of the mobility, a degradation ofthetransconductance, a reduction of the charge transfer efficiency, a degradation of the current gain, an increase of the leakage current, a reduction of the carrier minority lifetime, single event upsets, latch-up, and an increase of the low frequency noise. Extensive information on these topics is published in the proceedings of the annual IEEE Nuclear and Space Radiation Effect Conference and of the bi-annual European RADECS Conference. A comprehensive compilation of the relevant literature in this field till 1992 can be found in /1/. 181 Informacije MIDEM 25(1995)3, str, 181-189 C. Claeys, E. Simeon, J. Vanhellemont: Radiation Effects in Silicon Components for Space Applications This paper will briefly discuss some basic physical phenomena, before discussing more in detail the radiation hardness of different technologies such as Silicon-on-lnsulator (SOI), Charge-Coupled Devices (CCD), submicron CMOS, and bipolar technologies. Some alternative technologies will also be briefly addressed. It is an update and extension of a previous review paper by the authors on this topic /2/. Due to space limitations only general trends and some special phenomena will be discussed. Some challenges and future trends will also be highlighted. 2. PHYSICAL IRRADIATION MODELS Ionising irradiations lead to the formation of defects in the silicon dioxide and at the Si-Si02 interface resulting into the generation of the oxide trapped charge and of the interface trap density. This damage will cause a shift in the threshold voltage of MOS devices. A huge amount of publications are dealing with a possible explanation for the involved defect creation mechanism. Presently, two different schools exist /3-4/. On one hand it is believed that due to the irradiation electron-hole pairs are formed in the oxide, whereby the electron is very mobile and the hole moves towards the interface. It is generally accepted that interface traps are trivalent silicon atoms with a dangling bond. After device processing these dangling bonds are saturated due to the hydrogen passivation, so that for modern technologies the interface trap density is very low and in the 109-1010 cm-2 e\/"1 range. The irradiation-generated holes will lead to broken bonds once they reach the interface, thereby increasing the interface trap density. The oxide traps are believed to be trivalent silicon atoms with an oxygen vacancy and have been identified by electron spin resonance studies. This model is called the trapped-hole model. Another theoretical model, called the hydrogen diffusion model, is based on the fact that during irradiation hydrogen ions throughout the oxide are formed which under positive gate bias may diffuse to the interface and thus creating interface traps according to the following radiochemical reaction /5/ eSÍ-H + H+ + e" « sSi-H + H° « =Si- + H2 (1) Due to the electron tunneling from the substrate through the oxide, the hydrogen ion is transformed into a hydrogen atom. The hydrogen atom is very reactive and reacts with a saturated silicon bond in order to form an interface trap (dangling bond) and a hydrogen molecule that diffuses away. Although there still exists a lot of controversy about the exact model, more and more experimental evidence is given for the validity of the hydrogen diffusion model. Detailed studies by Fleetwood and co-workers /6-7/ resulted into a generally accepted nomenclature for the irradiation induced oxide defects. Dependent on the location of the generated traps, distinction is made between bulk oxide traps, border traps and interface traps. This is schematically illustrated in Fig. 1. The reaction time of the generated traps allows a further classification into 'fixed' and 'switching' states. The switching states are those which have an impact on the dynamic operating conditions (e.g. low frequency noise) of the devices. Both states will have an influence on the threshold voltage. Special measurement techniques have been proposed to separate the influence of the oxide and interface trapped charge. Gate Oxide Detect Location Border Traps" interface Traps Electrical Response "Switching Slates" u i "Fixed States' Figure 1: Schematic illustration of the used nomenclature to differentiate between the physical location and the electrical response of the irradiation induced charges, after Fleetwood et al. 171. 'Border traps' are located in the oxide near the interface and can exchange charge with the Si substrate on the time scale of the measurement. Dependent on the irradiation conditions a certain amount of energy will be deposited into the silicon material and may therefore result into so-called displacement damage, as reviewed recently /8/. The displacement damage will mainly consist of a variety of defects such as isolated intrinsic defects (self-intersti-tials and/or vacancies) and defect complexes and defect clusters. In recent years, the authors have used a large variety of electrical and structural analysis techniques (such as TEM, DLTS, infrared spectroscopy, laser scattering tomography, photoluminescence, electrical l-V and C-V measurements, lifetime measurements, low frequency noise spectroscopy) in order to identify and to characterize the electrical behavior of these defects after proton, electron and neutron irradiations /9-11/. Special attention has been given to the impact of the quality of the starting silicon material, i.e. Czochralski (Cz) Si with different interstitial oxygen concentrations - Float Zone - Epitaxial wafers - high resistivity silicon, on the hardness against displacement damage. This study also included the influence of the implementation of gettering treatments, originally intended to reduce the amount of process-induced defects. 182 C. Claeys, E. Simeon, J. Vanhellemont: Radiation Effects in Silicon Components for Space Applications Informacije MIDEM 25(1995)3, str. 181-189 3. IRRADIATION HARDNESS OF SILICON TECHNOLOGIES This section is intended to give an overview of some general features of the radiation hardness of different silicon technologies. Although the irradiation behaviour of several electrical parameters has been extensively studied during the last decade, less attention has been given to the low frequency noise behaviour of devices in a space environment. This topic has recently been reviewed by the authors /12-13/ and will also briefly be mentioned here. 3.1 Silicon-on-lnsulator (SOI) Technologies To improve the total dose irradiation hardness of MOS technologies, Silicon-on-Sapphire (SOS) technologies were introduced around the mid-sixties. The thin silicon film on the insulating substrate (AI2O3), in which mesa transistors are fabricated, has a reduced carrier lifetime due to the interfaciai lattice mismatch. Although these technologies still have a beneficial irradiation behaviour from a viewpoint of single event upset (SEU) and resistance against so-called gamma-dot upsets caused by solar flares or nuclear explosions, for many space applications SOI technologies have gained a larger market share. It is even so that at the annual IEEE SOS/SOI Technology Workshop only a few papers are still dealing with SOS. For SOI wafers the thin silicon film, which is separated from the silicon substrate by a buried insulator layer, can be obtained by oxygen implantation (SIMOX), wafer bonding and etch back (BESOI) and zone melting recrystallisation (ZMR). The main advantages of using a thin silicon film are latch-immunity, reduced leakage currents, increased SEU thresholds, and the elimination of leakage currents associated with parasitic transistors /14/. For space applications radiation levels between 50 and 100 krad(Si) are sufficient. In addition to several microprocessors, radiation hardened 256k and 1 Mbit SRAMs have also successfully been fabricated on SIMOX material. The trend in device scaling is surely making SOI a winner compared to SOS as for the latter the end-of-life is mentioned to be around the 1.2 |im level. In SOI devices the irradiation sensitivity is mainly related to the different Si-Si02 interfaces associated with the gate oxide, the buried oxide and the isolation oxides respectively. Therefore attention has been given to the impact of both the gate oxide temperature and the isolation scheme used /15/. Hardened devices are obtained by lowering the gate oxidation temperature and by implementing a MESA-LOCOS isolation, whereby a deep trench is etched in the Si film before the sidewalls are oxidized, instead of a standard LOCal Oxidation of Silicon (LOCOS). This is clearly illustrated in Fig. 2, showing that for hardness levels up to 100 krad(Si) a maximum threshold voltage shift of 100 mV is obtained. Also the post-irradiation low frequency noise behaviour is strongly influenced by the isolation scheme as can be seen in Fig. 3 /16/. In strong inversion no difference is observed, while in the subthreshold region the noise strongly increases for the LOCOS device. For the MESA-LOCOS device the noise increase is much smoother. The observed noise spectra are 1/fn like with 0.2 > U) ® CD « O > o JC JQ-ll «U w ■3 ,, z 10 10"3 10"2 10"1 10° 101 io2 Drain Current ID (jiA) Figure 3: Low frequency noise spectral density of irradiated MESA-LOCOS (dashed lines) and LOCOS nMOSTs for three different frequencies. n slightly larger than 1. Investigations also pointed out that irradiations have a negligible impact on the excess noise in the kink region of the devices. Hardened SOI technologies are often using an additional boron implantation at the bottom of the silicon film in order to compensate for the irradiation-induced positive charge build-up in the buried oxide as otherwise an inversion layer would be formed /15/. This can only be done for partially depleted devices as fully depleted devices are processed on rather thin silicon films which implies that there is a coupling between the front- and back interfaces. 183 Informacije MIDEM 25(1995)3, str, 181-189 C. Claeys, E. Simeon, J. Vanhellemont: Radiation Effects in Silicon Components for Space Applications Other measures to increase the irradiation hardness of SOI devices are either design related, such as e.g. the use of body ties and/or edgeless transistors, or modifying the transistor concept. An example of the latter is to use Gate-AII-Around (GAA) or dual gate MOSTs, whereby there is a thin oxide all around the gate /17/. These GAA devices allow total dose levels up to 30 Mrad(Si) /18-19/. An interesting feature is that while the threshold voltage shows a serious rebound after Mrad(Si) irradiations, the low frequency noise has a turn-around behaviour for increasing irradiation doses. The maximum transconductance is a decreasing function of the total dose. The rebound effect is associated with a compensation of the near-interface oxide traps by the interface traps and can be reduced by optimizing the radiation hardness of the gate oxide /19/. 3.2 Charge Coupled Devices (CCD) CCDs are frequently used for imaging purposes on board of spacecrafts and orbital satellites, so that extensive research has been performed during the last decade. For these devices not only ionizing irradiation but also irradiation-induced bulk damage have a strong impact on the electrical performance and reliability of the devices. In Europe extensive research in this field has been performed by EEV (UK), Thomson (F), IMEC (B) and research groups such as e.g. SIRA, Brunell and Leicester University (UK). For reducing the dark current a dithering technique, a multiphase pinned (MPP) or a virtual phase CCD technology are often used. The ionization damage causes charge build-up in the gate oxide layers resulting in a shift of the threshold voltage, while the generation of interface traps leads to an increase of the surface dark current. In addition transient effects may be observed. Displacement damage produces trapping centres in the silicon substrate, which in turn leads to a reduction of the charge transfer efficiency and the production of dark current spikes and a Random Telegraph Signal (RTS) behaviour. Systematic studies have been performed in order to investigate the impact of technological parameters on the total dose radiation hardness /20-22/. The standard IMEC n-type buried channel, triple poly and double metal 3 jim CCD technology was evaluated up to 73 krad(Si) and it was observed that i) there isa3x increase of the dark current density, ii) a high hole trapping factor of 0.6, iii) a high subthreshold leakage, and iv) severe reverse annealing effects after irradiation /20/. By technological modifications such as replacing the solid-source doping at 950°C by an ion implantation, reducing the thermal budget after gate oxidation by restricting the maximal temperature to 800°C, and changing the plasma enhanced CVD reflow oxide in the back end by a tetra-ethyl ortho-silicate glass (TEOS), the radiation hardness level has been increased to 100 krad(Si) /21/. Some typical results are shown in Fig. 4. The modified process is characterized by a threshold voltage shift of 14 mV/krad(Si), a hole trapping factor of 0.04, a dark current increase of less than 2 times, and an increase in interface trap charge of about 2x10 cm" eV~1. A different behaviour of the storage and image region of a Frame Transfer CCD has also been observed /22/. Due to the presence of a metal light shield on the (/2 2 o to 4) U Figure 4: 20 40 60 80 Dose Level [krad(Si)] (b) Typical subthreshold (a) and threshold voltage shift (b) as a function of irradiation dose level for the standard and the radiation-hard-ened CCD process. storage region, the sintering step in forming gas leads to a large amount of active hydrogen-containing species in the oxide layers. The latter results in a pre-irra-diation reduction of the interface trap density, but is at the same time responsible for a higher interface trap density build-up during ionizing irradiation, in agreement with the hydrogen model discussed in section 2 and characterized by eq. (1). This phenomenon is illustrated in Fig. 5, giving the evolution of the average interface trap density during irradiation and subsequent annealing for a CCD device with the sintering step before or after etching the Al light shield. As already mentioned, CCDs are also very sensitive to irradiation-induced bulk damage. Therefore the authors have studied in detail the impact of the quality of the substrate material and some technological parameters on the radiation hardness of the devices /9-11 /. A variety of proton, 252Cf and electron irradiations resulted into the following main conclusions. The oxygen content of the starting material has a strong impact on the radiation resistance, and the best results are obtained for low oxygen wafers and epitaxial material. The degrading impact of the oxygen content has been confirmed by 184 C. Claeys, E. Simeon, J. Vanhellemont: Radiation Effects in Silicon Components for Space Applications Informacije MIDEM 25(1995)3. str. 181-189 > 4) sintering before / RT anneal sintering after / RT anneal sintering after / 100 °C anneal 0 20 4060 800 250 500 750 1000 Dose [krad(Si)] Annealing Time [h] Figure 5: Average interface trap density determined from charge pumping measurements on gate oxide transistors of the radiation hardened CCD process as a function of the accumulated dose level and the subsequent annealing time. A difference is made between a sintering step before or after etching of the AI light shield. It is also important to mention that beside technological modifications the radiation hardness can further be improved by optimizing the design. In addition, the radiation sensitivity also depends on the device operating conditions (e.g. operating bias, operating temperature, in-situ annealing affects). 3.3. Submicron CMOS Technologies Bulk CMOS technologies are inherently more radiation sensitive than SOI technologies. However, typical features of commercial bulk CMOS devices are: total dose hardness >1 x 106rad(Si02), dose rate upset hardness to 1 x 109 rad(Si)/sec, dose rate survivability to 1 x 1012 rad(Si)/sec, SEU hardness for flip-flops and SRAMs to 1 x 109 -1 x 1010 upsets/bit/day, and neutron fluence hardness to 1 x 1014 cm"2 /24/. A further scaling of the devices to the deep submicron region requires the implementation of several advanced processing steps which will have an impact on the radiation hardness. Some of these technological process modifications are briefly discussed. The switching to so-called ultraclean processing in order to maintain the stringent material specifications concerning defects and contamination of the starting silicon has a beneficial impact on the radiation hardness. The use of thinner gate oxides results in a strong improvement of the radiation hardness as in general the irradiation-induced threshold voltage shift AVt follows a power law with the gate oxide thickness t0x /1/: AVt - tnox electrical characterizations of diodes, TEM analysis, photoluminescence measurement, and DLTS analysis. The SiOx precipitates and their associated dislocations are responsible for minority carrier traps in p-type silicon, characterized by two deep levels at Ec-0.17 and Ec-0.43 eV, leading to an increase of the leakage current. While in irradiated p-type silicon the majority traps related with carbon (interstitial carbon-substitutional carbon C¡CS or interstitial carbon-interstitial oxygen C¡0¡ complexes) dominates the leakage current, In n-type irradiated silicon this is the case for majority traps associated with vacancies (E-centres or di-vacancies). The implementation of an internal gettering step may also have a beneficial impact on the radiation hardness. The technological importance of these conclusions are for the moment being validated by evaluating the radiation hardening of a 1024x1024 CCD processed in a 1.25 (.tm CCD-CMOS technology. Reduction of the bulk damage is important for the use of CCDs in a near-Earth space environment. A systematic study by Hopkinson /23/ concerning the radiation-induced dark current increase points out that the surface dark current increase due to ionization damage is bias dependent and shows a large reverse annealing effect, while the bulk damage generates dark current spikes and may introduce temporal fluctuation similar as RTSs. These RTSs, which have time constants up to 1 hour, are believed to be due to bistable lattice defects, i.e. defects with two stable configuration, corresponding to a different charge state. (2) with n ranging between 1 and 3, depending on the oxide growth conditions. Ultrathin oxide (10-30 nm) have been reported to be harder than predicted by eq. (2), which o £ 6X) WD <1 3 2 1 0 ....... I I I I I IT -i..... j........ T„=noA 50 keV X-ray 0.45 MRad (SiO,) n í r o 1 - a ___ N,0-Nitrided ~ --—__________________■ i i i i i i i i i t 1 2 3 4 5 Channel Length [jam] Figure 6: Comparison of the X-ray irradiation sensitivity of a standard and a N20-nitrided oxide. The normalized degradation of the peak transcon-ductance is shown as a function of the effective device length 1261. (dose rate 10 krad(Si02)/min). 185 Informaclje MIDEM 25(1995)3, str. 181-189 C. Claeys, E. Simeon, J. Vanhellemont: Radiation Effects in Silicon Components for Space Applications might be due a compensation of the oxide trapped holes by tunnel electrons. For a complete picture one also has to take into account the impact of additives to the oxide growth ambient (e.g. Cl, F, Ar, N ...), the oxide growth temperature and the post-oxidation anneal conditions. Recent work even seems to indicate that threshold voltage shift due to oxide trapped charge is less oxide thickness dependent (n = 2.8) than the shift caused by the interface trapped charge (n = 4.3) /25/. Another beneficial influence on the radiation hardness of the gate oxides is the use of N20-nitrided (NO) /26/ or reoxidised nitrided (RNO) structures /27/, as illustrated in Fig. 6 for a NO oxide. Field oxides can be hardened by sandwich structures such as a P- or As-doped deposited oxide on a thin thermal oxide /28/. Modern transistors concepts such as Lowly Doped Drain (LDD) surely impacts the radiation hardness. Especially in the spacer regions irradiation-induced charge can be trapped. 3.4 Bipolar Devices Irradiations degrade the common emitter current gain [3 of bipolar junction transistors by increasing the base current without significantly impacting the collector current This is caused by either displacement damage in the bulk reducing the minority carrier lifetime or by ionizing irradiation of the oxide covering the emitter-base junctions, whereby the oxide trapped charge results in a spreading of the field induced depletion layer in the base region and the interface traps increase the minority carrier surface recombination velocity /29/. The decrease in effective doping of the base of NPN transistors will be less pronounced for relatively heavy base doping as well as a very narrow base width. The effec- tive surface recombination velocity versus the ionizing dose rate is illustrated in Fig. 7 /30/, showing very clearly that the surface recombination velocity not only increases with the total dose but also depends on the dose rate. While the highest values are observed for a low dose rate, there is a tendency to level off at high dose rates. A similar behaviour is noticed for the excess base current. Fig. 8 gives a typical behaviour of the current gain characteristics for various total dose levels /31/. Figure 8; Typical common emitter current gain characteristics for various levels of total dose. The post-irradiation current gain is normalized to the peak pre-irradiation current gain pPk, after Nowlin etal. /31/. E o u o E .W C3 .5 'J5 o u -Sf Aluminum Figure 10: Schematic cross-section of the monolithic integration of CMOS electronics and particle diodes in high-resistiviy SOI wafers. with for proton irradiations 1.5 to % 3 $ 2 CD 1 0 0 50 100 150 200 250 300 350 400 450 50< Temperature (deg.C) Figure 1: in-situ temperature dependence of electrical resistivity for bilayers with Ni and Si thicknesses of 53 nm/27 nm (A), 53 nm/55 nm (B), 53 nm/82 nm (C), 53 nm/91 rim (D), 53 nm/157 nm (E) and 200 nm/152 nm (K), respectively, heated up at 3°C/min in argon. Crosses mark temperatures to which XRD and RBS measurements correspond. ing. The overall conductivity of the bilayer is mostly determined by parallel resistivities of Ni and silicide layers. The consumed nickel appears in the form of the silicide which exhibits larger specific electrical resistivity than the pure metal. Therefore the resistivity is increasing as the silicide layer is growing at the interface. RBS of the sample A in Figure 2 shows that resistivity increase between 220 and 260°C can be attributed to the interdiffusion at the interface between both layers which consumes all Si layer below 260°C. This diffusion is then temporarily limited between 260 and 300°C where resistivity exhibits a peak, probably due to a o- 400 450 500 550 600 650 700 750 800 Channel 136123RB Figure 2: Ex-situ 1.45 MeV 4He+ Rutherford backscattering spectra of samples A, B and C with Ni and Si thicknesses of 53 nm/27 nm, 53 nm/55 nm and 53 nm/82 nm, respectively, heated at 3°C/min. up to different temperatures in argon and then cooled rapidly. The signal of Ni layer appears at higher channels (ener-gies) than the signal of Si layer due to larger mass of Ni atoms in comparison with Si atoms although Ni layer is located below Si layer. The sample C, exhibits strong initial increase. Here the difference between RBS spectra (Figure 2) obtained at room temperature, 260 and 300 °C is easy to notice. The intermixing in samples A, B and C is more rapid for thicker Si layers as Si atoms seem to diffuse more rapidly than do the Ni atoms. In X-ray diffraction spectrum of the sample C (Figure 4) at 300°C only broad and weak Ni peak is present which seems to get a neighbour of the growing Ni2Si phase. Namely, at 335°C peaks of this new phase appears. Obviously resistivity detects jrc v/x'~'<— -1-r-1—■ 900 600 32 .2 >- 300 Ni Si A (1361) I (1362) as-oeposHix 220 deg.C 260 deg.C 300 deg.C > 370 deg.C ' 450 deg.C as-deposits 260 deg.C 300 deg.C 370 deg.C 450 deg.C C (1363) as-depositee 260 deg.C 300 deg.C 335 deg.C 450 deg.C 198 A. Cvelbar, P. Panjan, B. Navinsek, B. Zorko, M. Budnar, A. Zalar, B. Pracek: Thermally Stimullated Interactions in Bilayers and Multilayers ...__ Informacije MIDEM 25(1995)3, str. 196-204 well the consumption of initial layers and the crystallization of Ni2Si. Moreover, while RBS spectra remain almost constant above 335°C in Figure 2, the resistivity of the sample C in Figure 1 drops obviously around 395°C and this agrees well again with XRD spectra in Figure 4 where additional peak of the NiSi phase appears at 395°C which is more pronounced at 450°C. ê c 3 4 i 350-300-250-200 150 100 50- c 0 260 £ 3-C as-deposited 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 Theta (degroes) B (1362) ; a= Ni, c= Ni2Si, d=Ni31 Si12 Figure 3: Ex-situ Seemarin-Bohiin x-ray diffraction (XRD) spectra of the sample B (53 nm Ni/55 nm Si) after deposition and after heating at 3°C/min up to different temperatures and then cooled rapidly. 5007 400- § 300- 200- c 0 100- 0-t a 260 deg.C A 14 C (1363) Figure 4 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 Theta (degrees) a=Ni, o=Ni2Si, e^NiSI 1 Ex-situ Seemann-Bohlin x-ray diffraction (XRD) spectra of the sample C (53 nm Ni/82 nm Si) after deposition and 'after heating at 3°C/min up to different temperatures and then cooled rapidly. and a Si wafer exhibits a sequential growth of three phases: Ni2Si, NiSi and NiSi2 /9/. In a bilayer where Si layer is thinner than Ni layer Canali /10/ found different sequence: Ni2Si, Ni3i Sii 2 and [\Ji3SL Tu /11/ added this result to his results obtained on bilayers with thicker Si layer than Ni layer and suggested phase formation sequences for both cases: If Si layer is thinner than Ni layer Ni2Si, Ni3iSii2and NbSi will form sequentially. On the other hand if Si layer is thicker than Ni layer Ni2Si, NiSi and NiSi2 will appear with increasing temperature. It shall be noted here that references /10,11/talk about NisSi2 as the existence of such phase was earlier reported by Saini /12/ and included with its characteristic peaks into reference x-ray diffraction pattern /13/. Later a correction of the stoichiometry to Ni3iSii2 was included into this pattern /14/. Samples D and E with high silicon content, like the sample C, exhibit strong initial resistivity increase. XRD spectra in Figures 5 and 6 show that the resistivity drop after the already explained increase (Figure 1) can again be correlated well with the crystallization of the NiSi phase as for the sample C. As can be seen in Figure 1 this process appears at lower temperatures for films with thicker initial Si films. It is interesting that peaks of both Ni2Si and NiSi appear in the same temperature range between 305 and 335°C which differs from the sample C where peaks of only Ni2Si appeared first and those of NiSi were added later. This may be caused by a Si diffusion which is rapid in comparison with sample A. However, RBS spectra of the sample E in Figure 7 reveal that after quite homogenous silicide layer with a stoichiometry close to NiSi is formed, the diffusion of remaining Si layer is limited. Evidently even temperature of 450°C is not high enough to enable the formation of homogenous layer with higher silicon content (e.g. NiSi2). 750 600- =! 450 •fi & è1 g 300 150- 0 450 deg.C ° e 0 400 deg.C c c e e e c, e 335 deg.C e c c 305 deg.C 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 Theta (degrees) D (1310) ; a=Ni, c=Ni2Si, e=NiSi At this point it shall be explained that the sequence of the phases formed depends on the starting Ni/Si thickness ratio. The formation of nickel silicide between a film Figure 5: Ex-situ Seemann-Bohlin x-ray diffraction (XRD) spectra of the sample D (53 nm Ni/91 nm Si) after deposition and after heating at 3°C/min up to different temperatures and then cooled rapidly. 199 A. Cvelbar, P. Panjan, B. Navinšek, B. Zorko, M. Budnar, A. Zalar, B. Praček: Informacije MIDEM 25(1995)3, str. 196-204_ Thermally Stimullated Interactions in Bilayers and Multilayers ... E (1311); a=Ni, c=Mi2Si, e = NiSi B) and Figure 8 (sample K) shows that in both cases at temperatures below the resistivity peak traces of Ni are present only. Above the peak beside traces of remaining Ni other peaks are present as well. In the case of sample K beside Ni2Si peaks, visible in spectra of sample B, signs of Ni3iSii2 and NiSi are present, too. It is possible that last two phases are also present in thinner bilayer samples with similar thickness ratio, but their quantities may be below the detection limit for XRD which is about 20 nm /11,16/. Another possibility is that higher temperatures needed in thicker bilayers for one of the layers to be consumed have effect on a process of the crystallization of the silicide layer which is triggered by this consumption. A presence of Ni2Si, Ni3iSii2 and NiSi in the sample K heated up to 350°C was noticed in XRD spectrum obtained in Bragg-Bren-tano geometry as well. Figure 6: Ex-situ Seemann-Bohlin x-ray diffraction (XRD) spectra of the sample E (53 nm Nil 157 nm Si) after deposition and after heating at 3°C/min up to different temperatures and then cooled rapiçlly. 1050-t Si Ni Si î-deposite< / 305 deg.C 335 deg.C ■ 400 deg.C 1450 deg.C S c 2 ® 700 350 as-deposited 305 deg.C ,. 335 deg.C /, 400 dog.C as-deposited- 300 deg.C--- 350 deg, •<(1300) 450 deg.C- 300 350 400 450 500 550 600 650 700 750 800 Channel 131190RB Figure 7: Ex-situ 1.45 MeV He Rutherford backscat-tering spectra of samples D, E and K with Ni and Si thicknesses of 53nm/91nm, 53nm/157nm and 200nm/152nm, respectively, heated at 3°C/min. up to different temperatures in argon and then cooled rapidly. Up to this point bilayers with the same thickness of Ni layer were presented. This was done to enable the comparison between different bilayers with various thicknesses of Si layer as initial resistivity is determined mostly by nickel. Now we shall examine the effect of thicker Ni layer in the sample K. Its resistivity dependence is similar to that of the sample B which possesses similar starting Ni/Si thickness ratio. The resistivity curve K in Figure 1 is shifted to higher temperatures as it can be expected that it takes more time for thicker layer of Ni to be consumed during temperature ramp. A comparison between XRD spectra in Figure 3 (sample 1000 M W C 750 500- 250 0 \dJ 450deaCd^ e c d If 350 deg.C ^^â/wli ae 300de£.C Ac a a a after deposition 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 Theta (degress) K (1300); a=Ni, c=Ni2Si, d=Ni31Si12, e=NiSi Figure 8: Ex-situ Seemann-Bohlin x-ray diffraction (XRD) spectra of the sample K (200 nm Nil 152 nm Si) after deposition and after heating at 3°C/min up to different tempera-tures and then cooled rapidly. The sample K is thicker than other samples and signals from Ni and Si layers partially overlap in RBS spectra. At the same time larger thickness makes the observation of changes in depth profiles easier. At 300°C we can see that nickel atoms are detected at higher channels than after deposition - they are moving into the silicon layer, producing a step in a Ni signal which means that the growing silicide layer is rather homogenous. We analyzed RBS spectra by two different computer programs /17,18/ and found that the stoichiometry is between Ni2Si and NiSi. At350°C, afterthe resistivity peak, Ni atoms spread all the way to the surface of the film -all Si layer is consumed. Another evidence for this is smaller height of the right shoulder of Si signal. The second rise of the signal is due to remaining pure Ni layer near the substrate. The silicide layer exhibits stoichiometry close to Ni3Si2 which can be a mixture of Ni2Si and NiSi as it was already observed in similar films /19/. If we heat the sample higher up to 450°C, Ni atoms 200 A. Cvelbar, P. Panjan, B. Navinsek, B. Zorko, M. Budnar, A. Zalar, B. Pracek: Thermally Stimullated Interactions in Bilayers and Multilayers ..._ Informacije MIDEM 25(1995)3, str. 196-204 diffuse into the silicide and result in a homogenous film on a substrate. Its composition is defined by the starting overall composition of the bilayer. Nickel is not reacting with the substrate up to 450°C as can be seen from a non-moveable left edge of the Ni signal of other bilayer samples. The main reason for this comes from native oxide as oxides are known to limit interactions between films /20/. To compare situation in samples A, B, C, D, E and K after heating at 3°C/min up to 450°C their x-ray diffraction spectra are presented in Figure 9. It can be clearly seen that situation depends on starting Ni/Si thickness ratio as well as on absolute values of thicknesses. For sample A nickel peak is the strongest and beside it small peaks of Ni2Si and Ni3iSii2 appear. If we increase the thickness of silicon, nickel peaks disappear and only peaks belonging to other two phases remain in sample B, Sample C possesses no traces of Ni3iSii2. instead, NiSi peak grows up beside peaks of Ni2Si. If we increase Si content furter, peaks of NiSi become dominant. As Si layer Is amorphous we do not detect it although there is some remaining Si layer in sample E. Situation in sample K is similar to the state of sample B except the number of phases detected is larger. 1100 1000 900 '•1(1311)4 600* n awW™».—...—— _ ccs. D (131($n e NSASXRD Figure 9: Ex-situ Seemann-Bohlin x-ray diffraction (XRD) spectra of bilayers with Ni and Si thicknesses of 53nml27nm (A), 53 nm/55 nm (B), 53nm/82 nm (Cj, 53nm/ 91 nm (D), 53nm/ 157nm (E) and 200nm/152nm (K), respectively, heated up to 450°C at 3°C/min in argon and then cooled rapidly. As can be seen in Table 1 several samples were contaminated with oxygen during deposition to study its influence on interactions between nickel- and silicon. Depth profiles of samples F, G, H, I and J are presented in Figure 10. As AES is not an absolute analytical method several standards would be needed to calibrate these measurements. Therefore, Auger peak-to-peak height is used for presentation which enables relative comparison of similar samples. We applied RBS method which is absolute, too. It is not best suited for light elements, but as an estimation it gives the stoichiometry of Si02 In oxidized Si layer of the sample F and Nio.sOo.2 in oxidized Ni layer of the sample J. 600t J (1365) 480- 240- 120-- / ~Y \^ G (1309) .....-- X X"F (1367) 0 5 10 15 20 25 30 35 40 45 50 Sputter tims (minutes) NISIOAES Figure 10: Auger electron spectroscopy (AES) depth profiles of as-deposited bilayer samples F, G, H, I and J, contaminated with oxygen during deposition. 100 200 300 400 500 600 700 Temperature (deg.C) Figure 11: In-situ temperature dependence of electrical resistivity for bilayers without oxygen contamination (type B) and with oxygen included into Si layers (types F, G and H with decreasing oxygen content) heated up at 3°C/min in argon and then cooled rapidly. Crosses mark points to which RBS and XRD measurements correspond. As can be expected /20/ oxygen limits interactions. In-situ dependence of resistivity for samples with contaminated Si layers is given in Figure 11. Resistivity dependence of sample B which was not intentionally contaminated is shown for reference. It can be seen 201 A. Cvelbar, P. Panjan, B. Navinšek, B. Zorko, M. Budnar, A. Zalar, B. Praček: Informacije MIDEM 25(1995)3, str. 196-204__ Thermally Stimullated Interactions in Bilayers and Multilayers ... clearly that several per cent of incorporated oxygen can strongly limit interactions in sample G. In sample F, where SiC>2 was grown on Ni, we can see the Currie point of nickel at 260°C - resistivity dependence similar to one we get if nickel is deposited only. There is good correlation between resistivity measurements in Figure 11 and RBS spectra in Figure 12. The lesser the 900- € « o J (1365) IV_ (1366) Si Si (1364) Si Si G (1309) as-deposited .-'305 deg.C ("'400 deg.C B00 deg.C Channel Figure 12: Ex-situ 4He+ Rutherford backscattering spectra for bilayers without oxygen contamination (type B), with oxygen included into Si layers (types F, G and H with decreasing oxygen content) and with oxygen included into Ni layers (types I and J with increasing oxygen content) heated up to different temperatures at 3°C/min in argon and then cooled rapidly. The energy of the incoming ions was 1.45MeV(F, H, land J) and 1.5 MeV (G). changes in resistivity the more constant RBS signal is. X-ray diffraction spectra of those samples in Figure 13 heated up to 400°C or more confirms observations of both techniques that the formation of new phases is strongly suppressed if oxygen is present. If silicon layer is contaminated, mostly conducting nickel layer is unaffected. Resistivity measurements can be normalized with room temperature values and compared mutualy. On the other side if nickel layer is contaminated its resistivity can be increased by orders of magnitude. Therefore, in such samples absolute values of resistivity are to be compared as is presented in Figure 14. Their resistivity during heating alters and some changes can be seen in RBS spectra in figure 12 as well. Additionally, the state of samples I and J at 400°C is described by their XRD spectra in Figure 13. 1000 m E .c O > 100 TS o S i> LU NiOSiR 50 100 150 200 250 300 350 400 450 500 Temperature (deg. C) SOOT 500" f a a 400- 3 ■fi 300- J(1 I (1366), 400 deg.C „ H (1364), 400 deg.Ce 200- G (1309), 500 deg.C 100- F (1367), 500 deg.C h a °14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 Thsta (degrees) a=Ni, o=Ni2Si, e=NiSi, f=NiO NSKSXRD Figure 13: Ex-situ Seemann-Bohlin x-ray diffraction (XRD) spectra of bilayer samples F, G, H, / and J, contaminated with various amounts of oxygen during deposition, heated up to 400 or 500°C at 3°C/min in argon and then cooled rapidly. Figure 14: In-situ temperature dependence of electrical resistivity for bilayers without oxygen contamination (type B) and with oxygen included into Ni layers (types I and J with increasing oxygen content) heated up at 3°C/min in argon and then cooled rapidly. Triangles mark points to which RBS and XRD measurements correspond. After the analysis of results on bilayers we shall focus on multilayer structures, containing 11 alternating layers of nickel and silicon. Multilayer samples from the group L have Si and Ni layer thicknesses of 30 and 25 nm, respectively. Group M possesses Si and Ni layer thicknesses of 95 and 25 nm, respectively. Taking into account the different volume density of atoms of the two elements, the Si/Ni ratio of the total number of atoms in a multilayer was 0.8 and 2.1 for group A and B, respectively. Obtained resistivity dependencies on temperature, along with results for bilayers C and E possessing similar overall stoichiometries, are shown in Figure 15. 202 A. Cvelbar, P. Panjan, B. Navinsek, B. Zorko, M. Budnar, A. Zalar, B. Pracek: Thermally Stimullated Interactions in Bilayers and Multilayers ..._ Informacije MIDEM 25(1995)3, str. 196-204 Crosses again mark points to which x-ray diffraction spectra for the group L and M in Figure 16 and Figure 17, respectively, correspond. 0 50 1 00 150 200 250 300 350 400 450 500 Temperature (deg.C) Figure 15: In-situ temperature dependence of electrical resistivity for bilayers with Ni and Si thicknesses of 53 nm/82 nm (C) and 53 nm/157 nm (E) and for multilayers (11 alternating layers) with Ni and Si individual layer thicknesses of 25 nm/30 nm (L) and 25 nm/95 nm (M), respectively, heated up at 3°C/min in argon. Crosses mark tempera-tures to which XRD and RBS measurements correspond. As in bilayers temperatures of similar resistivity change were lower for the sample B than for the sample K, in multilayers composed of even thinner layers these temperatures are lower than for bilayers. The curves for bilayers and multilayers are, however, similar.This can be seen in figure 15. The expectance that similar resistivity behaviour describes processes like those in bilayers is confirmed by x-ray diffraction in Figure 16, where for the sample L the crystallization of Ni2Si phase above resistivity peak was detected. After their appearance, at higher temperatures these peaks are shifting toward higher angles - the crystals are ordering and unit cells are becoming smaller. In sample M peaks of Ni2Si are detected in the diffraction spectrum at the resistivity peak (Figure 17) and the following resistivity drop is connected with the appearance of crystalline NiSi beside still existing Ni2Si. Finally, at 450°C NiSi phase is detected only by x-ray diffraction. In general for multilayer sample M reactions are similar to those in bilayer sample E. There are, however, differences in details. XRD spectra for bilayers in Bragg-Brentano geometry yield up to four times higher signals than in Seemann-Bohlin geometry while in multilayers the ratio of even 100 was noticed. This indicates that the crystallization in multilayers yields more oriented structures than in bilayers. Another difference is that in diffraction spectrum of multilayer M peaks of NfeSi are much more obvious than in bilayer E (and peaks of NiSi much less dominant) although the silicon content in the multilayer is higher than in the bilayer. RBS measurements in Figure 18 reveal that multilayer structure in sample L is ruined at 250°C yielding a homogenous depth profile. On the other hand, in multilayer M the alternating structure is affected but still visible even at 450°C. The reason for this may be similar as in bilayer E, where the intermixing is limited after a stoichiometry close to NiSi is obtained in a newly formed silicide layer. & c l .Ï c » c 13 10 8 300 deg.C o h 270 deg.C c c Kk 250 deg.C c -Va as-deposited J I L 0 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 Theta (degrees) (1256), a=Ni, c = Ni2SI 1256BXRD Figure 16: Ex-situ Bragg-Brentano x-ray diffraction (XRD) spectra of the sample L (11 alternating layers; 25 nm Ni, 30 nm Si) after deposition and after heating at 3°C/min up to different temperatures and then cooled rapidly. "14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 Theta (degrees) M (1259), a=Ni, c=NI2Si, e=NiSi 1259BXRD Figure 17: Ex-situ Bragg-Brentano x-ray diffraction (XRD) spectra of the sample M (11 alternating layers; 25 nm Ni, 95 nm Si) after deposition and after heating at 3°C/min up to different temperatures and then cooled rapidly. 203 A. Cvelbar, P. Panjan, B. Navinšek, B. Zorko, M. Budnar, A. Zalar, B. Praček: Informacije MIDEM 25(1995)3, str. 196-204_ Thermally Stimullated Interactions in Bilayers and Multilayers ... Channel 12569RBS Figure 18: Ex-situ 1.5 MeV 4He+ Rutherford backscat-tering spectra of multilayers (11 alternating layers) with Ni and Si individual layer thicknesses of 25 nm/30 nm (L) and 25nm/95nm (M), respectively, heated up at 3°C/min in argon. 4. Conclusions We analyzed interaction between Ni and Si layers in bilayers and multilayers with various Ni/Si thicknnesses ratios on a basis of in-situ electrical resistivity measurements during dynamic heating of the sample. Results of resistivity curves prooved to be efficient starting points for straightforward use of other analytical methods as x-ray diffraction, Rutherford backscattering and Auger electron depth profiling and observations of all methods were well correlated. Temperatures at which phases occur after the intermixing of both elements depend on properties of measured structure. In literature in the case of Ni on Si wafer Ni2Si, NiSi and NiSi2 were observed after an isothermal anneal at 280, 350 and 750 °C, respectively/11/. In bilayers with thinner Si layer Ni2Si, Ni3iSii2 and NisSi were detected after similar heating at 280, 400 and 450 °C /10/. Several authors noticed Ni2Si at 200 °C and NiSi2 was found on amorphous silicon at 400°C /15/. In our bilayers peaks of Nt2Si were found in the sample B at 300°C, but according to RBS and resistivity measurements it is present already at 260°C. Additionaly it shall be noted that in multilayers with thinner layers resistivity exhibited changes about 50°C lower and similar temperature difference was found in XRD spectra as well. Presence of Ni3iSii2 was noticed at 450°C in thinner bilayer B) and at 350°C in thicker bilayer (K). The formation temperature for NiSi in our bilayers depended on starting Ni/Si thickness ratio and varied between 335 and 395°C. In multilayers this temperature was again 50°C lower than the lowest temperature for bilayers. For temperatures up to 450°C NiSi2 was firmly detected neither in bilayers nor in multilayers. The incorporation of several atomic per cent of oxygen atoms into silicon or nickel layer suppresses the diffusion strongly. For lower oxygen contents Ni2Si is pre- sent at 400°C, while for higher contents almost no changes are visible in x-ray diffraction and Rutherford backscattering spectra. References /1/ K. Maex, Materials Science and Engineering R11 (1993) 53. /2/ M. Ohring, The Materials Science of Thin Films, Academic Press Inc., Boston, 1992, 389. 13/ M. Ohring, The Materials Science of Thin Films, Academic Press Inc., Boston, 1992, 391. /4/ Y. Kawazu, H. Kudo, S. Onari in T. Arai, Jap. J. Appl. Phys. 29 (1990) 729. /5/ Q.Z. Hong, Stella Q. Hong, F.M. D'Heurle in J.M.E. Harper, Thin Solid Films 253 (1994) 479. /6/ A. Cvelbar, B. Cuk, P. Panjan, B. Navinsek and A. Zalar, Vacuum 46 (1995) 923. /7/ B. Navinsek, P. Panjan and A. Cvelbar, Surface and Coatings Technology 74-75 (1995) 155. /8/ L.C. Feldman and J.W. Mayer, Fundamentals of Surface and Thin Film Analysis, North-Holland, New York, 1986, p. 168. /9/ J.M.Poate, K.N.Tu and J.W, Mayer, Eds.: Thin Films - Interdif-fusion and reactions, John Wiley & Sons, New York, 1978, p. 368. /10/ C. Canali, G. Majni, G. Ottaviani in G. Celotti, J. Appl. Phys. 50 (1979) 255. /11/ K.N.Tu, G. Ottaviani, U. Gosele in H. Foil, J. Appl. Phys. 54 (1983) 758. /12/ Saini et al., Can. J. Chem. 42 (1964) 1511. /13/ ASTM Card 17-222. /14/Powder Diffraction File 17-222, Joint Committee of Powder Diffraction Standard International Centre of Diffraction Data, New Town, 1994. /15/ R. Pretorius, T.K. Marais and C.C. Theron, Materials Science and Engineering 10 (1993) 1. /16/ J.M. Poate, K.N.Tu and J.W. Mayer, Eds.: Thin Films - Interdif-fusion and reactions, John Wiley & Sons, New York, 1978, p.365. /17/ E. Kotai, Nucl. Instr. Meth. B 92 (1994) 100. /18/J. Saarilahti and E. RauhalaNucl. Instr. Meth. B 64 (1992) 734. /19/ A. Zalar, S. Hofmann, F. Pimentel and P. Panjan, Surf, and Interf.Analysis 21 (1994) 560. /20/ C.-D. Lien and M.-A. Nicolet, J. Vac. Sci.Technol. B 2 (1984) 738. Mag. Andrej Cvelbar, dipl.ing. Dr. Peter Panjan, dipl.ing. Prof. Dr. Boris Navinsek, dipl.ing. Benjamin Zorko, dipt. ing. Doc.Dr.Milos Budnar, dipl.ing. Institut "Jozef Stefan" Jamova 39, 61000 Ljubljana, Slovenia tel: +386 61 177 3900 FAX: +386 61 219 385 Doc. Dr. Anton Zalar, dipl.ing. Borut Pracek, dipl.ing. Institut za elektroniko in vakuumsko tehniko Teslova 30, 61000 Ljubljana, Slovenia tel: +386 61 126 4584 FAX: +386 61 263 098 Prispelo (Arrived): 03.10.95 Sprejeto (Accepted): 17.10.95 204 UDK621,3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 25(1995)3, Ljubljana DEBELOPLASTNI KEMIJSKI SENZORJI Janez Holc Institut "Jožef Štefan", Ljubljana, Slovenija Ključne besede: senzorji kemijski, senzorji polprevodniški, senzorji debeloplastni, senzorji iz materialov trdnih, senzorji elektrokemijski, elektroliti trdni, merjenje koncentracije plinov, merjenje koncentracije alkoholov, prevodnost ionska, merjenje koncentracije ionov v raztopinah, prevodnost električna, senzorji galvanski, senzorji amperometrični, SnC>2 senzorji, senzorji vlažnosti, senzorji vodika, SO2 senzorji, CO2 senzorji, Na+ion senzorji, senzorji ogljikovodikov Povzetek: V prispevku je podan literatuml pregled nekaterih debeloplastnih kemijskih senzorjev. Opisani so principi delovanja, materiali in karakteristike kemijskih senzorjev. Thick Film Chemical Sensors Keywords: chemical sensors, semiconductor sensors, thick film sensors, solid state sensors, electrochemical sensors, solid electrolytes, gas concentration measurement, alcohol concentration measurement, ionic conductivity, ion concentration measurement In solutions, electric conductance, galvanic sensors, amperometric sensors, Sn02 sensors, humidity sensors, hydrogen sensors, SO2 sensors, CO2 sensors, Na+ion sensors, hydrocarbon sensors Abstract: This paper reviews the area of thick film solid state chemical sensors based on semiconducting and solid electrolyte materials. Research and development of chemical sensors are characterised by large gap between new Ideas, materials and protopyes on one hand and limited number of practically reliased sensors which are manufactured in large quantity. Typical examples for commonly used chemical sensors are Lambda probe based on solid electrolyte to detect oxygen in the car exhaust and Sn02 sensor based on conductance measurements to detect reducible gas in warning system. Solid state chemical sensors are mainly divided in to two groups: semiconducting and electrochemical. First type of sensors are manufactured from following materials: Sn02, ZnO, TO2, Nb20s, SrTi03 etc. These metal oxide semiconductor based sensors can detect various gases by using conductivity changes due to absorption or desorbtion of gases. Electromotive force (EMF) from electrochemical galvanic type sensors is related to electrode reactions which involve gaseous species to be measured. Chemical sensors may also be produced in thick film technology for producing hybrid circuits. Also, for some applications it provides a relatively inexpensive and convenient way to produce chemical sensors which can be integrated in to hybrid circuits. Starting materials for chemically sensitive layers are prepared from powders and organic vehicle. They are printed onto alumina substrate together with electrodes. The principles, materials and performance of thick film Sn02, humidity, hydrogen, carbon and sulfur dioxide, hydrocarbons and some other sensors are covered in this paper. 1.UVOD Princip delovanja senzorjev je spreminjanje transportnih parametrov v senzorskem materialu, ko so ti izpostavljeni termičnim, radiacijskim, mehanskim, električnim, magnetnim ali kemjiskim spremembam. Kemijski senzorji postajajo vse pomembnejši pri kontroli kemijskih procesov, meritvah onesnaženja kot tudi v vsakodnevnem življenju. Kemijski senzorji merijo koncentracijo plinov v zmeseh, kot npr: O2, CO2, CO, H2O, H2, NH3, NO, N02, H2S, ASH3, PH3, alkoholov, aminov, ogljikovodikov itd. Uporabljajo se tudi za merjenje koncentracij ionov v raztopinah kot naprimer: H+, Cu+, Ag + , NO3" itd. Osnovno vprašanje, ki nastane ob odkritju novega materiala za kemijski senzor je, ali ta reagira tudi na spremembe drugih sestavin, ki so prisotne v mernem sistemu. Lep zgled za to je Sn02 senzor. Reagira namreč na veliko večino reducirajočih plinov. Težava pa je, kako izdelati tak senzor in določiti pogoje njegovega delovanja, ki bo reagiral le na spremembe koncentracije določenega plina. Kemijske senzorje, izdelane iz trdnih materialov (ang. solid state sensors) razdelimo v dve skupini: polprevod-niške in elektrokemijske. Prvi so izdelani iz teh-le materialov: Sn02, ZnO, Ti02, Nb203, VJO3, SrTi03. Pod vplivom kemijskih sestavin se tem materialom spremeni električna prevodnost. Bistveni del elektrokemijskih senzorjev je ionsko prevoden trdni elektrolit. Elektrokemijske senzorje delimo še na galvanske in am-perometrične. Pri galvanskem tipu je napetost oz. gonilna sila proporcionalna razliki koncentracije merjene komponente na obeh straneh elektrolita. V primeru amperometričnega senzorja pa na elektro-kemjisko celico priključimo električno napetost in merimo tok skozi trdni elektrolit. Mejni tok skozenj je proporcionalen koncentraciji merjene komponete. Za izdelavo senzorjev se uporabljajo različne tehnologije. Razvoj je usmerjen v debeloplastne in tankoplastne tehnologije, kajti če je senzorski material tanek hitreje reagira na spremebe koncentaciji merne sestavine. Te tehnologije obenem omogočajo integracijo senzorja z merno elektroniko. Senzor prihodnosti bo integriran z elektroniko v taki meri, da ga bo mogoče priključiti 205 Informacije MIDEM 25(1995)3, str. 206-208 J. Hole: Debeloplastnl kemijski senzorji direktno na računalnik. Debeloplastna tehnologija izdelave kemijskih senzorjev je v primerjavi z tankoplastnimi tehnologijami preprostejša in cenejša. V debeloplastni tehnologiji je možno izdelovati tako majhne prototipne serije kot velike serije. Pri izdelavi kemijskih senzorjev je bistveno tudi to, da je možno zelo hitro izdelati pasto novega ali spremenjenega materiala jo natisniti in preiskusiti, kar pa ne velja za druge tehnologije. Kemijske senzorje bi lahko ločili na dve veliki skupini: - senzorje, ki se uporabljajo za določitev primarnih komponent neke zmesi, kot na primer vsebnost kisika, CO2, vlage ipd. v zraku, kjer so koncentracije komponent kot tudi koncentracijsko območje, ki jih mora zaznati senzor, dokaj velike. Na primer senzor kisika v avtomobilu deluje v območju 21% in nekaj ppm. - senzorje, ki merijo sledi prisotnih komponent (CO, ogljikovodiki, klor, fosfin, amonijak itd). Namen prispevka je na kratko opisati nekatere kemijske senzorje, ki se jih lahko izdela v debeloplastni tehnologiji' 2. PRIMERI DEBELOPLASTNIH KEMIJSKIH SENZORJEV 2.1. Sn02 senzor Sn02 senzor je v zadnjih parih desetletjih najpogosteje omenjen, raziskovan in uporabljen kemijski senzor. De-tektira razne pline (ogljikovodiki, vodik, alkoholi, cigaretni dim, metan, dušikovi oksidi, arzin, fosfin itd). Kaj detektira, je odvisno od dodatkov in temperature delovanja /1/. Najpogostejša uporaba Sn02 senzorjev je za plinske alarme v industriji in gospodinjistvih. Za izdelavo se uporabljajo prav vse znane tehnologije med drugim tudi debeloplastna tehnologija. Pasta iz SnC>2 in dodatkov se natisne na korundni substrat in žge pri določenih pogojih /2/. Sintrane plasti so debele približno 20 jim. Za izdelavo finih prahov SnC>2 se zadnje čase precej uporabljajo plazemske metode /3/. Prah, pridobljen po teh metodah, ima nanometrske delce, ki se sintrajo v obliki paste že pri 500 °C. Na nasprotno stran senzorja oz korundne ploščice se natisne še grelnik, ki segreva senzor na določeno temperaturo. Prerez skozi Sn02 debeloplastni senzor je na sliki 1. V primer- Sn02 layer Pt electrodes Al203 substrate Al203 film Pt heater Slika 1: Debeloplastni SnC>2 senzor /8/ javi z SnC>2 senzorji, izdelanimi v tankoplastni tehnologiji, ima debeloplastni slabšo selektivnost ter večjo občutljivost na spremembe temperature in relativne vlažnosti, je pa zato cenejši /1/. 2.2 Senzor vlage Tudi senzorji vlage spadajo med kemijske senzorje in se uporabljajo v klimatskih napravah, rastlinjakih, v procesih sušenja, raznih napravah itd. Na primer, vsak videorekorder je opremljen s senzorjem vlage, ki prepreči vklop naprave, ko je vlažnost blizu rosišča, saj bi kapljice vode lahko poškodovale magnetni trak in od-jemno glavo. Navadno senzorji vlage merijo relativno vlažnost, to je razmerje med delnim in nasičenim parnim tlakom vodne pare pri določeni temperaturi. Signal iz senzorja je proporcionalen relativni vlažnosti in ga podajamo v procentih R.H. (relative humidity-relativna vlažnost). Za izdelavo senzorjev vlage uporabljamo različne materiale, npr. polimerne materiale, AI2O3, LiCI, MgCr204, T1O2 - V2O3, (Ba,Sr)TiC>3 itd. /4/. Skoraj večina do sedaj razvitih senzorjev vlage je izdelanih iz polimernih materialov. Manj znana je generacija keramičnih senzorjev vlage. Dobri naj bi imeli tele lastnosti: veliko občutljivost, reverzibil-nost, hiter odzivni čas, dolgo življenjsko dobo, selektivnost ter kemijsko in termično stabilnost. Tem pogojem v večini primerov zadostijo keramični senzorji vlage. To so porozni keramični materiali, katerim se zaradi adsorpcije vodne pare na površini spreminja električna prevodnost ali dielektričnost. V večini primerov se za izdelavo senzorjev vlage uporablja debeloplastna tehnologija. Na interdigitalne elektrode se natisne senzorska plast in žge tako, da ostane po žganju porozna. Ker lahko pri večji relativni vlažnosti ali onesnaženi atmosferi pride do ireverzibilnih sprememb v porozni senzorski plasti, imajo keramični senzorji vdelan še grelnik, ki občasno segreje senzor na okoli 400 do 600°C. Na ta način se odstranijo hlapne nečistoče (olja, saje, težkohlapne organske spojine itd.). Z raziskavami keramičnih debeloplastnih senzorjev se ukvarjamo tudi na Odseku za keramiko na Institutu "Jožef Stefan". Potekajo v več smeri: sinteza novih materialov, priprava poroznih keramičnih struktur po sol gel postopku, študij interakciji med substratom, senzorsko plastjo in elektrodnim materialom med procesom priprave ter procesi staranja keramičnih senzorjev vlage. 2.3 Senzor vodika Podobno kot za kisik je tudi senzor vodika elektrokemij-ska celica s trdnim elektrolitom. Napetost galvanskega člena je odvisna od reakcij na elektrodah. Kot trdni elektrolit se uporabljata naslednja protonska prevodnika: NASICON (Na3Zr2Si2POi2) /5/ ali BaCe03 /6/. Vse komponete senzorja (trdni elektrolit, referenčna elektroda in kontakti) so narejeni v debeloplastni tehnologiji. Shematično je senzor vodika predstavljen na sliki 2. Temperatura delovanja takega senzorja je okoli 200°C, zato je na drugi strani podloge natisnjen grelnik. Senzor je uporaben za merjenje koncentracij vodika v območju od 100 ppm do 100%. 206 J. Hole: Debeloplastni kemijski senzorji Informacije MIDEM 25(1995)3, str. 206-208 Heater Contact Slika 2: Debeloplastni senzor vodika. Merni plin prihaja skozi porozno platino /5/. Senzor se uporablja v industriji za detekcijo vodika v raznih plinskih zmeseh. 2.4 Senzorji CO2 in SO2 Senzorja za CO2 in SO2 sta galvanskega tipa in imata kot trdni elektrolit NASICON oz. beta aluminijev oksid za CO2 senzor /7/ . Merilni elektrodi sta Na2C03 oz. Na2SC>4. Ker sta oba trdna elektrolita ionska prevodnika Na+, poteče na anodi razpad natrijevega karbonata ali sulfata. Na+ migrira skozi trdni elektrolit in doseže ka-todo, kjer se vzpostavi ravnotežje. Podobno se dogaja v senzorju SO2, samo da v ravnotežju sodeluje Na2S04. Če je v mernem plinu prisotna voda, senzor ne deluje pravilno. Drugi problem pa je počasno izpare-vanje Na2C03 oz. Na2S04 z anodne strani na katodno stran pri temperaturi delovanja senzorja, to je od 400 do 600°C. Ta pojav povzroča senzorju lezenje. Shematsko je senzor prikazan na sliki 3. Senzor CO2 se uporablja v skladiščih, rastlinjakih in fermentorjih, senzor SO2 pa za kontrolo dimnih plinov iz kurišč in izpuhov. encapsulation Slika 4: Debeloplastni senzor Na+ ionov. Raztopina pride v stik z NASICON trdnim elektrolitom /9/. s stekleno pasto. Shematsko je senzor prikazan na sliki 4. Senzor je uporaben za merjenje koncentracije Na+ ionov v vodnih raztopinah pri 25°C v koncentracijskem območju od 1 do 10"2 mol/l. 2.6. Senzor ogljikovodikov Za detekcijo ogljikovodikov se uporabljajo polprevodni kovinski oksidi, katerih prevodnost se spreminja med absorpcijo in desorpcijo ogljikovodikov. Uporabljajo se sledeči kovinski oksidi: ZnO, SnC>2 in Fe2C>3 /10/. Ti senzorji so namenjeni detekciji ogljikovodikov, ki so prisotni v zemeljskem plinu in plinu v jeklenkah, ki se uporabljajo za ogrevanje in kuhanje v gospodinjstvih. Zadnje čase se vedno pogosteje za te namene uporablja Fe203, ki je občutljiv na propan in butan in nekaj manj na metan, vendar je ravno detekcija metana izredno pomembna v primeru uporabe zemeljskega plina. Zato dodajajo Fe2C>3 dodatke, ki izboljšajo občutljivost na metan. Pomembno je, da senzor zazna že količine reda velikosti nekaj deset ppm. Senzor se v debeloplastni tehniki izdela v obliki upora, tipični Fe2C>3 senzor ogljikovodikov je prikazan na sliki 5. Nasicon Pt(Au) Pt(Au) Substrate Heater Slika 3: Debeloplastni senzor CO2171. f-Fe2°3/Pd OOOjjm: X Imm T Au(7000A ) AI2O3 substrate 5mm — 10mm — 2.5. Senzor Na ionov Kot primer senzorja, ki deluje v raztopinah, bi omenil senzor Na+. Ta senzor in še več podobnih senzorjev se uporablja v industriji, zdravstvu, kmetijstvu itd. za kontrolo vsebnosti ionov v raztopinah. Že omenjeni trdni elektrolit NASICON /5/ je bil razvit za uporabo v Na/S baterijah kot dober prevodnik Na+ ionov. Zato je uporaben tudi za izdelavo Na+ senzorja 19/. NASICON je natisnjen na korundni substrat, nanj pa še referenčna elektroda iz Nao.7W03. Ta je zaščitena še Slika 5: Debeloplastni senzor ogljikovodikov 1111. Plin prihaja v stik z FezO^, med dvema elektrodama. 2.7. Ostali senzorji V tabeli 1 so navedeni nekateri polprevodni materiali, ki se uporabljajo za izdelavo kemijskih senzorjev za detekcijo nečistoč v plinih. Vsi materiali so polprevodnega tipa in se jim v prisotnosti nečistoč spremeni električna upornost. 207 Informacije MIDEM 25(1995)3, str. 206-208 J. Hole: Debeloplastnl kemijski senzorji Tabela 1: Primeri materialov, ki se raziskujejo ali uporabljajo za kemijske senzorje nečistoč v plinih 1121 Material p ali n tip prevodnika Temperatura delovanja (°c) Nečistoča ZnO n 450 freoni W03 (Pt) n 250 do 400 nh3, h2s Ti02 (Ru) n 560 trimetil amin BaTi03 n 300 co BaSn03 n 300 do 500 h2, co, ch4, s02 SrFe03 P 470 ch4 Cr2-yTiyC>3 p ali n 250-500 h2s /13/ /5/ W. F. Chu, V. Leonhard, H. Erdmann, M. Ilgenstein: Thick film chemical sensors, Sensors and Actuators B, Vol. 4, (1991), 321 /6/ H. Iwahara, H. Uchida, K. Ogaki, H. Nagato: Nernstian hydrogen sensor using BaCeC>3 based proton conducting ceramics at 200 - 900°C, J. Electrochem. Soc., Vol. 138(1), (1991), 295 /7/ T. Maruyama, S. Sasaki, Y. Saito: Potentlometrlc gas sensor for CO2 using solid electolytes, Solid State Ionics, Vol. 23, (1987), 107 /8/ M. Prudenziati, Thick Film Sensors, Handbook of sensors and actuators 1, Elsevier, Amsterdam (1994), 302 /9/V. Leohard, H. Erdmann, M. Ilgenstein, K. Cammann, J. Krause, NASICON electrode for detecting sodium ions, Sensors and Actuators B, Vol. 18-19 (1994), 329 /10/ W. Y. Chung, D. D. Lee, Characteristics of Fe2C>3 thick film gas sensors, Thin Solid Film, Vol. 200 (1991), 329 /11/ D. D Lee, D. H. Choi, Thick film hydrocarbon gas sensors, Sensors and Actuators B1, (1990), 231 /12/ P. T. Moseley, Materials selection for semiconductor gas sensor, Sensors and Actuators B, Vol. 6, (1992), 149 /13/ D. H. Dawson, G. S. Henshaw, D. E. Williams, Description and characterisation of hydrogen sulfide gas sensor based on Cr2-yTiyO3, Sensors and Actuators B, Vol. 26-27, (1995), 76 3. SKLEP V prispevku so opisani nekateri kemijski senzorji, ki jih je možno izdelati v debeloplastni tehnologiji. Pomebni so predvsem zato, ker so preprosti in jih je lahko z odgovarjajočo elektroniko vklopiti v merilne in regulacijske sisteme. Tudi na IJS, v Odseku za keramiko, se ukvarjamo z raziskavami na področju senzorjev, in sicer Ti02 in (Ba,Sr)Ti03 senzorji vlage. Naše delo je osredotočeno na uporabo debeloplastne tehnologije izdelave ter na preiskavo reakcij, ki potekajo med pripravo in izdelavo posameznih senzorskih elementov. 4. LITERATURA /1/ W. Gopel, K. D. Schierbaum, SnC>2 sensors: current status and future prospects, Sensors and Actuators B, Vol. 26-27(1995),1 /2/ M. Prudenziati: Thick film technology, Sensors and Actuators A, Vol. 25-27, (1991), 227 /3/ Y. Liu, W. Zhu, M. S. Tse, S. Y. Shen, Study of a new alcohol gas sensor made from ultrafine Sn02 - Fe203 powders, J. Mat. Sci. Lett., Vol.14, (1995) 1185 14/ B. M. Kulwlcki: Humidity sensors, J. Am. Ceram. Soc., Vol. 74(4), (1991), 697 Dr. Janez Hole, d i pi. ing., Institut "Jožef Stefan" Jamova 39, 61111 Ljubljana Slovenija tel.; +386 61 177 3900 fax: +386 61 219 385 Prispelo (Arrived): 03.10.95 Sprejeto (Accepted): 19.10.95 208 UDK621,3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 25(1995)3, Ljubljana REPLACEMENT OF CFC SOLVENTS BY NEW "NO CLEAN" FLUXES OR NEW SOLVENTS FOR ELECTRONIC CIRCUIT CLEANING AFTER SOLDERING D. Ročak, M. Zupan*, V. Tadič**, V.Stopar*** J. Stefan Institute, Ljubljana, Slovenia * Iskra TEL, Kranj, Slovenia ** Ericsson Nikola Tesla, Zagreb, Croatia *** HIPOT HYB, Šentjernej, Slovenia Keywords: printed circuits, circuits manufacturing, surface cleaning, cleaning after soldering, electronic circuits, organic solvents, CFC, Chlorofluorocarbon solvents, "no clean" fluxes, CFC-113, trichlorotrifluoroethane, thick film technologies, ionic contamination, ionic conductivity, ionic conductivity measurement, insulation resistance, functional reliability, humid environment, Montreal protocol, no-residue fluxes, flux residue removal, rosin fluxes, water soluble fluxes, synthetically activated fluxes, no clean soldering, aqueous cleaning, water cleaning, semiaqueous cleaning, solvent testing, solder pastes Abstract: Some "no clean" fluxes and solder pastes containing residueless fluxes were tested by ionic contamination measurements on circuits after soldering. The influence of Ionic contamination on the reliability of circuit functioning was measured by Insulation resistance measurements in humid conditions. The same measurements were used to evaluate some new organic solvents for possible substitution of trichlorotrifluoroethane (CFC) solvents in the cleaning of electronic circuits after soldering. Zamenjava CFC topil z novimi fluksi "brez ostankov" ali pa z novimi topili za čiščenje elektronskih vezij po spajkanju Ključne besede: vezja tiskana, proizvodnja vezij, čiščenje površin, čiščenje po spajkanju, vezja elektronska, topila organska, CFC topila klorofluoroogljlkova, fluksi čistilni "nečisti", CFC-113 triklortrifluoretan, tehnologije debeloplastne, kontaminacija ionska, prevodnost ionska, zanesljivost delovanja, okolje vlažno, Montreal protokol, fluksi brez ostankov, odstranjevanje ostankov fluksov, kolofonija fluksi, fluksi vodotopni, fluksi sintetično aktivirani, spajkanje brez čiščenja, čiščenje vodno, čiščenje polvodno, preskušanje topil, paste spajkalne Povzetek: Preizkusili smo nekatere flukse "brez ostankov" in pastozne spajke s fluksi "brez ostankov" s pomočjo meritve ionskih ostankov na vezjih po spajkanju. Vpliv ionskih nečistoč na zanesljivost vezij smo ugotovili s pomočjo meritve izolacijske upornosti v vlažni atmosferi. Enake meritve smo uporabili za ovrednotenje nekaterih novih topli kot možne zamenjave za triklortrifluoretan (CFC) v postopku čiščenja elektronskih vezij po spajkanju. INTRODUCTION According to theMontreal Protocol the use of CFC-113 (trichlorotrifluoroethane) and 1,1,1 trichloroethane is to be reduced and phased out completely by the year 2000 /1,2/. Possible alternatives for replacing CFC solvents used for electronic circuit cleaning after soldering include new fluxes which do not need to be cleaned, or the choice of solvents other than CFC. Dependent on the type of fluxes used for circuit cleaning (rosin flux, water soluble, synthetically activated fluxes) suitable cleaning must be applied for flux residue removal. New fluxes, the so called "no residue" fluxes with very low solid content (<5%), have been developed which do not require cleaning, or when necessary it is possible to clean with organic solvents other than CFC. When rosin based fluxes are used for ciruit soldering, new organic solvents other than trichlorotrifluoroethane must be chosen. The attention of industry has been focused on four primary strategies for removing CFCs from use: HCFC as replacements for CFC, semiaqueous and aqueous cleaning and no-clean soldering. These are becoming available at increasingly attractive prices. When selecting a possible solution for circuit cleaning many aspects must be taken into consideration; material compatibility, energy consumption and also the selection of solvents, which are usually in the form of a mixture. Terpenes, water and other solvents are mixed with varying amounts of surfactants, detergents, saponiflers or other agents to maximize performance in specific applications. In our work we have tested two solvents other than CFC for circuit cleaning after soldering, and which were selected on the basis of previous work on solvent testing /3,5,6/. Also five "no residue" fluxes were tested for circuit soldering without cleaning in comparision with 209 Informacije MIDEM 25(1995)3, str. 209-213 D. Rocak, M. Zupan, V. Tadic, V. Stopar: Replacement of CFC Solvents by New "no clean" Fluxes or... RMA flux, as well as two solder pastes containing "no residue" flux. EXPERIMENTAL Ionic residues after the soldering of circuits were determined by ionic conductivity measurements of the solvent containing dissolved contaminants, and by insulation resistance measurements on the test sample between closely spaced soldered conductors in humid conditions /3/. The main characteristics of the fluxes and solder pastes tested are presented in Table I and Table II. The characteristic of RMA fluxes normally used for circuits soldering are also given in Table I for comparision. The characteristics of the new solvents A and B compared to Freon (CFC) are given in Table III. Ionic contamination measurement Samples for ionic contamination measurements were soldered by circuit immersion in a solder pot when using fluxes A,B,C,D and E for hybrid circuit soldering, or wave soldered with fluxes A and B for printed circuits. Fluxes were preheated before soldering to the specified temperature, and after soldering circuits were not cleaned. The dimension of hybrid circuit ceramic substrate were 2.5 cm x 2.5 cm and of the printed circuit 9 cm x 6 cm. Two circuits were used for each ionic contamination measurement. Ionic contamination on the circuits after soldering was measured by the static conductivity method in which the ionic conductivity of a mixture of 50 vol% distilled water: 50 vol% isopropyl alchohol was measured before and after immersion of the circuit in the solution for 10 minutes, using an Iskra MA 5964 Conductometer /3/. Table I: Main characteristics of fluxes used for immersion or wave soldering Flux Solid content (wt%) Flux activity Halide content A <2 no residue 0 B 2 no residue 0 C 2 no residue 0 D 2.1 no residue 0 E 2 no residue 0 Alpha 611 37 RMA 0.1 Alpha GR8 40 RMA 0.1 Table II: Solder pastes characteristics Solder I paste Alloy composition Metal content (wt%) nux activity A 62Sn36Pb2Ag 89 no residue B 62Sn36Pb2Ag 90 no residue Table III: Main characteristics of solvents * Ozon depletion potential The dynamic conductivity method was also used for ionic contamination measurements using two instru-ments;an Iskra Conductometer and an Omegameter 600 SMD in which the mixture of distilled water: isopropyl alchohol was circuled in the system after calibration with NaCI. The ionic contamination value measured is expressed in pg NaCI/cm2 according to Standard MIL-P-28809A. To determine the quantity of ionic contamination on a specimen under test, the conductivity of a fixed amount of test solution used to extract and dissolve the contaminants was measured. The system detects and records the concentration of contaminants in the test cell at the begining of the test to establish a base line. Subsequent calculation represents only the amount of contamination added to the extract solution during the test. A state of equilibrium is attained when all the contaminants have dissolved in the test extract solution. At the conclusion of the test the final contamination level in equivalent micrograms of NaCI/cm2 are recorded. Before running another test, the solution is regenerated through a built - in deionising system to establish a clean solution and a new base line for the next test. Insulation resistance measurement The test circuits for insulation resistance measurements were prepared according to DIN-32513 and IPC-SF-818 as given in Fig.1 and Fig.2. On the DIN-32513 test sample the distances between lines are 1.3 mm and the line widths 0.7 mm, and on the IPC-SF-818 test sample the line spacing is 0.7 mm and the line width is 0.35 mm. Ten test samples were soldered with the same fluxes on ceramic substrates and on printed circuits. The insulation resistance between closely spaced soldered conductors was measured before and after testing in a humid chamber under conditions of 93%RH, at 40°C with 50V DC applied. Ten samples were also soldered with a rosin flux for testing and the circuits cleaned with Freon and solvents A and B. Solvent Composition Mol. weight Boiling point (°C) ODP* value A CCI2 F-CH3 117 32 0.1 B alcohol mixture - 78 - Freon CCI2 F-CCIF2 187 48 0.8 210 D. Rocak, M. Zupan, V. Tadic, V. Stopar: Replacement of CFC Solvents by New "no clean" Fluxes or... Informacije MIDEM 25(1995)3, str. 209-213 using the instruments mentioned ealier. The solvent volume used to dissolve flux residues from a circuit surface of 1 cm2 after soldering was 3 ml/cm2 for static conductivity measurements. The results obtained from dynamic conductivity measurements were expressed in jag NaCI/cm2, because the instruments were calibrated with NaCI. Table IV: Ionic conductivity measurement results , d ü !,, , i|».> ,n " m ¡¡.¡/j j ßÄm.at& Reports are laser-printed on high qual- The magnetic tape format provides in- ity, 8^" x II" paper, to keep, to high- formation identical to the print format, light, to write on, to refer to again and See page 26 for technical specifications, again. THE FULL TEXT...AND MORE! Research Alert works in conjunction with The Genuine Article*, ISI's own full-tat document delivery service. Please turn to page IS lor more information about it, for details about other ISI products that support your research efforts, please refer to page 25. 234 Informacije MIDEM 25(1995)3, Ljubljana VENDOR MANAGED SERVICES "A PARTNERSHIP FOR THE ENVIRONMENT Introduction Hazardous Materials Management is a central issue for all semiconductor manufacturing operations. The hazards associated with the chemicals and gases used in the industry are significant, so the protection of employees, the surrounding community, and the environment must remain a primary focus. Accordingly, hazardous materials storage and handling practices are strictly regulated at the federal, state, country, and city levels. At SGS-THOMSON Microelectronics, Inc. in Phoenix, Arizona, an innovative approach to Hazardous Materials Management has been adopted. The concept is known as "Vendor Managed Services." What is Vendor Managed Services? Olin Electronic Materials' Chemical Management Services Group was selected to provide SGS-THOMSON with a comprehensive Hazardous Materials Management System. The mission statement governing Olin's commitment to SGS-THOMSON reads as follows: "To assume total product accountability and ensure total product integrity for the chemicals utilized in our customer's fab." Several basicfunctions have been identified and agreed upon as a part of the "Vendor Managed Services" contract. These functions represent Olin's responsibilities and can be divided into five major areas - chemical procurement, inventory management, equipment operation, waste disposal, and regulatory report preparation. Olin is tasked with supplying all production chemicals at a guaranteed level of quality to the "point of use" in the fab. This includes alternate source selection, material delivery, unloading the materials from the truck, product quality inspection, and transferring the delivered items to appropriate chemical storage areas. The chemical inventory is tracked and updated by Olin to minimize the quantities of chemicals stored on-site. All chemical containers are labeled with a bar code that is scanned in and out of the inventory system. When inventory levels fall below a predetermined minimum, the chemical is automatically reordered. This concept is known as "Just-In-Time" Materials Management and is preferred by our regulatory community. Within their primary work area, Olin Technicians operate a variety of specialized equipment and respond to emer- gency situations, (e.g. chemical spills and leaks). For example, Chemical Delivery Modules CDM's) are used to pipe selected chemistries to the fab. Olin Technicians manage and maintain these bulk delivery systems. The monitoring of critical operating parameters of the plant's Wastewater Treatment System and Vent Scrubber Systems is another essential function performed jointly by Olin and SGS-THOMSON personnel. A "real time" assessment of the critical parameters is made possible by a computer software system called Datatrax. The Data-trax system uses transfer equations to convert data from analog and digital instruments located throughout the plant into numeric and graphic displays. In the Wastewater Treatment Plant, the pH of the neutralization tanks, total dissolved solids, tank volumes, gallons per minute of flow, and fluoride levels are tracked and recorded. Datatrax looks at pump flow, differential pressure, pH and total dissolved solids for the wet scrubber system. In addition to its monitoring and record-keeping capabilities, Datatrax is equipped with an automated alarm and paging function. Predetermined control limits for a chosen parameter are programmed into the computer. If at any time the control limits are breached, the computer pages appropriate SGS-THOMSON and Olin technicians to respond to the area where the problem was detected. Employing Vendor Managed Services to monitor these critical parameters affords SGS-THOM-SON extended coverage essential to our operations. All activities associated with waste disposal are under the direction of Olin's Chemical Management Services. An Environmental Services Engineerfrom Olin has been assigned to SGS-THOMSON to prepare waste profiles, arrange for the analysis of samples, select the appropriate Treatment, Storage, and Disposal Facilities (TSDF), schedule waste shipments, and prepare and maintain all necessary documentation. The Environmental Services Engineer is responsible for the preparation of waste-related regulatory reports and is available to assist SGS-THOMSON with the preparation of required programs addressing pollution prevention and waste minimization. Currently, the Engineer is developing a comprehensive waste management program to include the recycling/reuse of targeted waste streams, such as sulfuric acid, hydrofluoric acid, mixed solvents, empty glass and poly bottles, drums, as well as packaging materials. Olin Technicians inspect our waste storage area on a weekly basis to ensure that our drums are properly labeled, securely closed, and in good condition. And finally, personnel from Olin's Corporate Environmental Department provide additional knowledge and resources to SGS-THOMSON whenever a need is identified. 235 Informacije MIDEM 25(1995)3, Ljubljana Why Choose Vendor Managed Services? A variety of benefits have been attributed to hiring a vendor to provide chemical management services. They include environmental advances, safety enhancements, improvement of quality and financial savings. Environmental Advances • Increased recovery/recycling capabilities • Application of "Best Available Technology" for Waste Disposal • Improved auditing of Treatment, Storage & Disposal Facilities • Comprehensive monitoring of Pollution Control Equipment Safety Enhancements • Decreased frequency of crisis shipments • Reduced quantities of hazardous materials on-site • Avoidance of accidents caused by inexperienced personnel • Increased materials handling efficiency Financial Savings • Decreased internal labor resources and supervisory time dedicated to chemical management • Reduced overhead and shortened cycle time • Elimination of excess costs with the introduction of "Just-In-Time" inventory methods • Increased efficiency in transportation and handling functions Conclusion SGS-THOMSON Phoenix believes that the benefits of "Vendor Managed Services" for Hazardous Materials Management are numerous. Olin Electronic Materials is a manufacturer of chemicals offering a wealth of experience, technical information, and resources. Forming a partnership with Olin's Chemical Management Services Group has provided SGS-THOMSON with the ability to focus on our primary objective - "to be the best 8 inch wafer manufacturing fab in the world". Quality Improvement • Controlled monitoring of supply streams • Customer yield improvement - Line yield from fewer interruptions - Increased up time of the chemical distribution system - Reduced scrap loss • Enhanced quality control and R&D efforts Written by Peg Goodrich Environmental Engineering Specialist SGS-THOMSON Microelectronics, Inc. and Frances Lupoe Environmental Services Engineer Olin Electronics Materials Division June 1995 236 Informacije MIDEM 25(1995)3, Ljubljana PREDSTAVLJAMO PODJETJE Z NASLOVNICE SEMCOTEC, Austria Semcotec's Quad Channel Line Interface Circuit Kit QuadCLIC The Semcotec development is unique worldwide and represents an integrated complete chip-set for four channel voice and signalling data generation and transmission based on a QuadCOMBO, QuadSLIC plus two other chip types: DualPower and RingDriver. The heart of the chip-set is composed of: • the 4-channel-Combo or QuadCOMBO on a single chip and 9 the 4-channel-SLIC or QuadSLIC on a single chip combined with DualPower and Ring Driver chips to form the QuadCLIC. The name CLIC is the acronym for Complete Line Interface Circuit. Based on the 4-channel-solution it is called the QuadCLIC. These chips are shown as core or heart of a wide variety of most advanced telecom applications, making these applications easier and by far more cost effective than using solutions based on standard products or chips from other manufacturers. Remote Maintenance and Service Features The QuadCLIC chip-set interfaces analog telephone subscriber or corresponding terminal equipment in applications like Pair Gain, PABX (Private Automatic Branch Exchanges), Rural Exchanges and Concentra- Semcotec's Quad Channel Line Interface Circuit Kit - QuadCLIC Core of all Applications MCLK Msneps f'KrtBSSSW8! •iSIHKBLI QuadSlic RingDriver (RD957) <----- CR FSR, CSR, —rrr-r:—r—r:—...........< ------ S 237 Informacije MIDEM 25(1995)3, Ljubljana tor systems with increased technical performance and reductions in components count and manufacturing costs compared to other solutions. The QuadCLIC Kit QK 957 offers advanced control and maintenance features, providing capabilities to monitor the subscriber loop and the CLIC status itself. The maintenance and service communication is handled completely digital via the serial control status links to the QK 957, either directly in analog line cards or through the ISDN interface D-channel protocol in pair gain systems. The respective QK 957 serial protocol has an easy access to an external controller for remote line status supervision, analysis and data logging. The serial control status link delivers continuously Information about the subscriber loop and the CLIC operation, up-dated with 8 kHz. The received digital codes with 8 bits per channel can be processed by the system control computer as LEGAL and ALARM types, depending on the line's operational modes like IDLE, RINGING, or TALK. Multiple usage of existing cabling The following describes the principles of a state-of-the-art digital transmission system using just one standard telephone twisted pair copper wire for four fully inde- pendent voice and/or data transmissions without any correlating interference during simultaneous transmission between the Central Office and four subscribers. This System - the first application for the QuadCLIC circuitry - is called PCM-4 (from Pulse Code Modulation). Principles 1. The system consists of the CENTRAL OFFICE UNIT, a printed circuit board (pcb) mounted together with other CO Units in a 19-inch-rack in the Central Office near to the mechanical or electronic exchanges and 2. the REMOTE UNIT installed in home or office-buildings, on poles, or other field mounting possibilities. 3. The CENTRAL OFFICE UNIT can operate with mechanical, half-electronic or fully digital exchanges. 4. To the CENTRAL OFFICE UNIT are connected the four call numbers from the CO exchange and the CO exchange battery supply. 5. The REMOTE UNIT is a small printed circuit board mounted in a steel, aluminum or plastic casing for outside installation. The choice of casing material and the casing itself depends on the environmental conditions and the requirements of the domestic PTO's (Post Telegraph Organization). Multiple Usage of Existing Cabling Principles of Pair Gain Systems (PCM's) Numbers Rotary Digital Cross Bar Same PCM-4 System (One Type) for all kind's of Central Office Exchanges PCM-4 Pair Gain Central Office Unit Field mounted QuadCLIC up to 8.5 km remote power feed PCM-4 Pair Gain Remote Unit up to 4.5 km \ OOO1 >00° II Phone Fax ' Phone ^ Fax sooo foo« Phone Fax Phone ^ ^ Fax 4 X 32kBit/sec transmission rate - Phone - Fax Group 3 - PABX - Pay Phone - Modem up to 9.6 kBit/s 238 Informacije MIDEM 25(1995)3, Ljubljana The Remote Unit is connected by A SINGLE wire pair to the CO Unit and by four wire pairs to the four subscribers, phone sets, or faxes as shown. The Remote Unit does NOT NEED ANY INTERNAL BATTERY OR OUTSIDE POWER CONNECTION. The power to the Remote Unit is fed over the same wire pair used for the voice and data transmission from the CO Unit. Operating Details All transmissions are fully simultaneously bi-directional and fully digital in accordance to CCITT G.712 and Q.552 recommendations. That means all chips used in the data and signal streams work fully bi-directional with data and signal streams in both directions at the same time. All chips are strictly designed in accordance to CCITT. 1. The CENTRAL OFFICE UNIT 1.1. takes the analog signal of the 4 call numbers from the exchange, 1.2. converts into digital data streams with 64kBit/sec per channel, 1.3. compresses each of these data streams to 32 kBit/sec using a standard ADPCM algorithm according to CCITT G.726, 1.4. and transmits these compressed data streams via the so-called ISDN (Integrated Services Data Network) U-lnterface chip (terminus technicus) together with signaling information, like ringing, telefax or callfee impulses, polarity reversal and loop state (onhook/offhook condition) over just ONE standard telephone twisted pair copper wire to the REMOTE UNIT. 2. The REMOTE UNIT 2.1. receives the incoming signals from the CO Unit through the U-lnterface chip, 2.2. de-compresses the channel-signals back to 64kBit/sec via the ADPCM, 2.3. converts the channel data from digital to analog signals via the QuadCOMBO, Multiple Usage of Network Cabling / GO \'\ Exchange , \ one standard twisted pair copper wire — £ B jL i Saved cabling costs with PCM-4 /' CO ' V Exchange PCM-4 X up to 8.5 km J one standard twisted pair copper wire Four individual phone numbers qq QuadCLIC Remote Unit up to 4.5 km Pay Phone S 6> \ These figures show a comparison between a usual "one call number, one wire and one subscriber connection" and the expanded possibilities of four call numbers connected through the CENTRAL OFFICE UNIT and just ONE wire to the REMOTE UNIT - built with one QuadCLIC circuitry - and from there on to FOUR completely independent subscribers. In this example are shown: Phone sets, fax, and a public telephone box or pay phone, the latter an important feature in some installations. 239 Informacije MIDEM 25(1995)3, Ljubljana 2.4. refines the analog channel signals assigned to the respective Central Office call numbers via the SLIC functions and connect the signal to the subscriber terminal equipment, for instance telephone sets or fax. This functionality uses the same principles vice versa for the data streams from the subscriber to the Central Office. Technical and Commercial Details The distances shown - 8.5 km CO to Remote Unit and 4.5 km, Remote Unit to subscriber - are not only defined by the CCITT transmission standard used and implemented in the U-lnterface chip, but also by the wire diameter and the physical conditions of the telephone wires in the connection. The experience of Semcotec shows no difficulties with respect to the latter conditions : PCM-4's have been installed under worst case conditions in cabling networks, having parts more than 50 years old. Distances up to 10 km are possible with wire diameters of about 0.6 to 0.8 mm in good conditions. In urban areas the average requested distance is around 2 to 3 km. The cost saving is clearly given by the possible multiple usage of wires. Only one wire is needed for 4 call number connections in PCM-4. PCM-4 Remote Unit Schematic As described previously the QuadCLIC circuitry is the core of a wide variety of telecom applications, as part of the PCM-4, in the field of voice and data transmission. As previously shown, the PCM-4 exists of the CENTRAL OFFICE UNIT and the REMOTE UNIT. This figure illustrates the Semcotec Integrated Remote Unit, designed with the QuadCLIC as the master circuitry. Shown are the principles with the incoming wire from the Central Office (right side) and the complete circuitry of the high integrated Semcotec PCM-4 Remote Unit from right to left: Typical PCM-4 Application QuadCLIC PCM-4 Remote Unit " ^ ..... .'' ''' to/from exchange UP to 8"5 km In this figure are again connected FOUR subscribers in one building demonstrating the usage of four call numbers for private, and business applications. Added is a possible Modem transmission. 240 Informacije MIDEM 25(1995)3, Ljubljana Rural Area with PABX Application \ \ // CO EXCHANGE CO Unit \ \ \ QuadCLIC PCM-4 Remote Unit up to 8.5 km PCM-4 Integrated Remote Unit shows fully assembled printed circuit board chipside (two layers only) 241 Informacije MIDEM 25(1995)3, Ljubljana PCM-4 Remote Unit Schematic SEMCOTEC Integrated Version QuadCLIC ADPCM 43 ■+ <£3 QuadCombo QuadSlic D Power ADPCM Control ASIC Jko DPower Power Supply ! SEMCOTEC ; Proprietary i Chips and Modules channels uncompressed with 64kBit/sec are possible, the latter means PCM-2. Semcotec offers its own 8-channel ADPCM chip in 1995. Control ASIC (Application Specific Integrated Circuit) This chip controls the main part of the data flowing in the Remote Unit. The Chip is designed and supplied by Semcotec. PS means Power Supply Module This unit, which is designed as a DC/DC-converter takes the operating voltage via the telephone wire from the Central Office Unit - by Remote Power Feed. It generates - with a very high efficiency - all necessary voltages and currents for the operation of the Remote Unit circuitry as well as the connected four telephone sets, for complete simultaneous parallel ringing and talking. The Power Supply Module is designed by Semcotec for this specific application. QuadCLIC The set consists of QuadCOMBO, QuadSLIC, Dual Power's and four Ring-Drivers as explained earlier. Uko = U-lnterface chip This chip, in accordance to CCITT and ISDN standards is a modem circuit for full duplex 144kBit/sec transmission rate and echo cancellation. ADPCM = Adaptive Digital Pulse Code Modulation chip This device performs the function of compressing and de-compressing the data channels in accordance to CCITT from 64kBit/sec to 32kBit/sec and vice versa. The chip works fully bi-directional. The kBit-rate of 64kBit/sec is part of the so-called ISDN standards, where ISDN means Integrated Services Data Network. Within this standard either 4 channels with compressed 32kBit/sec can be handled, which means PCM-4 or 2 SEMCOTEC, Seidlgasse 22/13 A-1030 Vienna, Austria tel. +43-1-714 3485 fax: +43-1-713 5458 242 Informacije MIDEM 25(1995)3, Ljubljana KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA Poletna šola o tehnologiji materialov za feroelektrične mikrosen-zorje, mikroaktuatorje in mikroelektronske komponente Lausanne, Švica, 28. 8.-1. 9.1995 V času od 28. avgusta do 1. septembra 1995 sva sodelovala na poletni šoli "Summer school on materials technology for ferroelectric microsensors, microactu-ators and microelectronic components" na Visoki politehnični šoli (Ecole polytechnique federale de Lausanne) v Lausanni, Švica. Program poletne šole je bil razdeljen na dva dela, ki sta vsebovala tako predavanja kot tudi laboratorijske vaje. Vprvem delu so predavatelji predstavili osnove feroelek-tričnosti, piezoelektričnosti in piroelektričnosti tako v keramiki kot v tankih plasteh, osnove meritev ter piezo-in piroelektrične elemente. Dr. Enrico Colla je v predavanju o fenomenologiji fero-, piro- in piezoelekričnosti predstavil fazne prehode, ki so osnova navedenim pojavom, s stališča termodinamike. S pomočjo Landau-ove teorije je razložil, kdaj so izpolnjeni termodinamski pogoji, pri katerih lahko makroskopsko merljiv efekt razložimo z mikroskopskimi modifikacijami v materialu. Na podlagi rezultatov lahko napovemo, v katerih kristalnih razredih lahko pričakujemo simetrije, ki so pogoj za fero-, piezo- in piroelektrični odziv v materialu. Dr. Dragan Damjanovič je v predavanju o piezoelektričnih elementih najprej predstavil sistem piezoelektričnih meritev, nato pa razložil razlike med meritvami monokristalov, keramike (vpliv orientacije domen) in tankih plasti (vpetost vzorca na podlago). Poleg tega je predstavil delovanje piezoelektričnih motorjev, hidro-fonov in aktuatorjev. Dr. Aleksander Tagantsev je v predavanju o tankih plasteh podal pregled fizikalnih pojavov, ki vplivajo na ferroelektričnost tankih plasti in razložil razlike v obnašanju keramike in keramičnih tankih plasti. Razložil je obnašanje feroelektrika v električnem polju, pojav di-električne plasti ob elektrodi, polprevodniški značaj te plasti in vpliv defektov na premik domen. Dr. Christian Wuthrich je imel predavanje o piroelek-tričnih elementih, v katerem je podal pregled optimi-ranja in modeliranja piroelektričnega senzorja ter priprave večplastnih struktur z metodo mokrega jedkanja in erozije. Pri laboratorijskem delu so predavatelji pokazali meritve omenjenih količin pri keramiki in tankih plasteh. Med demonstracijami je bilo dovolj časa za razgovor o izvedbi meritev, o eksperimentalnih problemih (vpenjanje vzorca, kontakti) in virih napak. V drugem delu poletne šole smo se seznanili z različnimi postopki priprave in s karakterizacijo ferroelektričnih keramičnih tankih plasti. Poleg tega so predavatelji predstavili tudi postopke izdelave mikroelektronskih komponent (senzorjev, aktuatorjev, motorjev, črpalk, ...). Dr. Paul Muralt je v predavanju o pripravi tankih plasti podal pregled najpogosteje uporabljenih materialov in njihove lastnosti ter pregled metod za nanašanje keramičnih tankih plasti. Poudaril je pomen kompatibilnosti materialov pri integraciji na silicijeve rezine in nadaljnje procesiranje večplastnih struktur. Dr. Marija Kosec je v predavanju o pripravi tankih plasti s poudarkom na sol-gel kemiji razložila potek reakcij v postopku sinteze in pregled sintez za pripravo P(L)ZT tankih plasti. Dr. Keith Brooks je v nadaljevanju poudaril, da na kvaliteto in orientiranost plasti ne vpliva le kemi-zem pri sintezi sola, pač pa tudi elektrodni material in termična obdelava. Dr. Enrico Colla in dr. Andrei Kholkin sta razložila modele degradacije in pojav utrujenosti v ferroelektričnih tankih plasteh. Dr. Georges Racine je predstavil prototipe mikromotor-jev in postopke izdelave, Dr. Philippe Lerch pa uporabo računalniškega programa ANSYS za simulacijo delovanja piezoelektričnih elementov. V praktičnem delu je vsak udeleženec pripravil keramično tanko plast po sol-gel postopku in izvedel električno karakterizacijo vzorca. Ogledali pa smo si tudi delo v laboratorijih za pripravo elektrod (sputtering) in obdelavo večplastnih struktur (micromachining). Prof. Nava Setter je v sklepnem predavanju predstavila področja raziskav in usmeritve pri izdelavi računalniških pomnilniških elementov. Poudarila je trenutne pomanjkljivosti, predvsem zanesljivost, zaradi katerih je uporabnost keramičnih tankih plasti še omejena. Nadaljnje raziskave bodo predvidoma na področjih pi-roelektričnih aplikacij, računalniških spominov in piezoelektričnih elementov v mikro- sistemih. dipl. ing. Uroš Delalut dr. Barbara Malič Odsek za keramiko, Institut Jožef Štefan, Univerza v Ljubljani Jamova 39, 61111 Ljubljana 243 Informacije MIDEM 25(1995)3, Ljubljana Osma mednarodna delavnica o steklih in keramiki iz gelov Faro, Portugalska, 18. - 22. 9.1995 Osma mednarodna delavnica o steklih in keramiki iz gelov (8th International Workshop On Glasses And Ceramics From Gels) sodi v serijo konferenc o dosežkih in razvoju znanosti in tehnologije sol-gela. Predhodne konference so potekale v Padovi (1981), Montpellierju (1985), Kyotu (1987), Rio de Janeiru (1989), Sevilli (1991) in v Parizu (1993). Konferenca je potekala v mestu Faro na jugu Portugalske, organizirala pa jo je skupina profesorja Rui Almeida iz Oddelka za materiale Tehniškega instituta v Lisboni. Sodelovalo je približno 250 udeležencev iz 30 držav. V enajstih sekcijah (kemija sol-gel procesov, struktura in lastnosti gelov, sintranje in kristalizacija gelov in tankih plasti, prevleke in membrane, hibridi in nanokom-poziti, biomateriali, električno aktivni materiali, aerogeli in katalizatorji, aktivni optični elementi, nelinearna in integrirana optika, nove usmeritve in aplikacije) je bilo predstavljenih približno 300 prispevkov. V sekciji o strukturi in lastnostih gelov smo sodelovali z delom Študij alkoksidnih prekurzorjev keramike na osnovi PbZr03-PbTi03 avtorjev Barbare Malič, Iztoka Arčona, Marije Kosec in Alojza Kodreta. Sol-gel sinteza se je uveljavila na področju priprave stekla, steklo-keramike, monolitov, steklenih in keramičnih vlaken, zaščitnih prevlek, kompozitov in tankih plasti. Bistvene prednosti sol-gel sinteze glede na klasične postopke so homogenost, čistost in nižje temperature priprave. Po alkoksidnem sol-gel postopku lahko sintetiziramo tudi keramične prahove. Predvsem za elektronsko keramiko, ki je tipično večkomponentna, je doseganje večje homogenosti in nižjih temperatur priprave, ki so posledica manjše velikosti delcev, izredno pomembno. Zato se precej keramičnih laboratorijev ukvarja s sintezo submikronskih neaglomeriranih prahov za piezoke-ramiko, superprevodnike, ferite. Na področju feroelek-tričnih tankih plasti, pripravljenih po sol-gel postopku (PZT, PLZT), so raziskave usmerjene v potek kristali-zacije in možne reakcije plasti z elektrodo (Pt) in podlago. V sklepnem predavanju konference je prof. D. Uhlmann na podlagi ankete med 50 raziskovalci s sol-gel področja napovedal, da so "naj" materiali prihodnosti, sintetizirani po sol-gelu, optične prevleke na osnovi silicijevih alkoksidov, Si02 aerogeli kot izolacijski materiali in prahovi za elektronsko keramiko. Problem keramičnih prahov je po njegovem mnenju predvsem prenos v proizvodnjo. Naslednja konferenca bo čez dve leti v Sheffieldu, Velika Britanija, v organizaciji profesorjev Angele Seddon in Petra Jamesa iz Univerze v Sheffieldu. dr. Barbara Malič Odsek za keramiko, Institut Jožef Štefan, Jamova 39, 61111 Ljubljana VESTI NEWS FROM AMS Notification of improvement of the intermetal insulation of 1.2(i., 2\i, and 3 processes As part of the AMS continuous improvement programme, the company has revised the intermetal oxide layer introducing an undoped oxide underlayer. The advantages are: • Increased layer uniformity and step coverage • Perfect sealing of metal-1 lines • Enhanced stress buffer capability to metal-1 • Unchanged electrical process parameters The new oxide step is deposited on the same equipment as before but improved by an undoped oxide layer. The total thickness of the intermetal oxide remains the same. For further information please feel free to contact us. New Laser Beam now operational at AMS AMS announces that its new high performance ETEC Argon scanning laser beam pattern generator was put into operation this week. The laser beam generator, made in Oregon, USA, combines innovative hardware and software advances with high speed raster graphics and precision optics the result of which is a high performance system optimized to write both the high precision masks and reticles required by today's high performance and complex ASICs (Applications Specific Integrated Circuits). The advantage for AMS is that this laser beam generator has the highest throughput currently available in the industry - with its 8 independent parallel writing split beams (200mW) it can complete a mask within approximately one quarter of the time required by the traditional E-beam generator used by AMS up to now. 244 Informacije MIDEM 25(1995)3, Ljubljana Furthermore, because of its extremely high precision overlay accuracy of 100 nm and its ultra low defect density which is 100 times better than that of an E-beam generator this is a further step towards increased quality at AMS. Since this new equipment exposes geometries of down to 0.5 micron it will be utilized well into the turn of this century at AMS. Note: A laser beam is used for writing geometries, the final layout pattern of an integrated circuit, onto a Chromium plated quartz glass referred to as mask. The mask in turn is used for exposing the silicon wafer and thus transferring this layout pattern onto the wafer. A set of up to 18 masks are required for the completion of an average wafer. The Third Quarter 1995 Austria Mikro Systeme International AG (AMS) reports its third-quarter results (Results of AMS AG without consolidation of SAMES): 1-9/1995 1-9/1994 in Mill. ATS in Mill. ATS Change in % Order Entry 1,326 1,285 +3 Net Sales 1,349 752 + 79 Backlog (Sept.30) 724 962 -25 Capital Expenditure 209 240 -13 Employees (Sept.30) 705 647 + 9 Profit on Ordinary Activities 220 73 +201 While the profit on ordinary activities corresponded with the net income in the first three quarters as well as for the whole of 1994 AMS will be subjected to taxation in the course of the second half of 1995 - as discussed in the shareholder's report for the first half of 1995 - and this will reduce the OVFA results accordingly. Mr. Horst Gebert, President and CEO: "Customers have realized the new potential of AMS and now view the group as an equal partner to the large international semiconductor manufacturers because of the participation with Sames and the reduced delivery times as a result of this participation. The increase in new engineering projects of over 50 % in the third quarter secure the long term competitiveness of AMS and confirm the new situation." AMS is technology partner of the European Union for the new SHAPE Project (Sub Half Micron CMOS Process for European Users) together with SGS-Thomson, Philips, Siemens, Alcatel-Mietec, GEC-Plessey and Ma-tra-MHS for the development of the next generation of integrated circuits with process structures of <0,5 micron, which will determine the semiconductor technology after the turn of the century. Mr. Johann Stritzelberger, CFO: "Shorter delivery times for new projects and orders - an important sales argument in the ASIC business - are reflected in the order entry and backlog with an increase in sales of 79 % at the same time. The delivery restrictions of AMS will be systematically reduced because of the new possibilities of the AMS group." Austria Mikro Systeme International AG Schloß Premstätten, A-8141 Unterpremstätten, Austria Tel.: +43 31 36 500-0 Fax: 43 31 36 52 501 CMP introducing low-cost microsystems prototyping All market studies agree that the market of microsystems is expected to boom, driven by more and more applications on automotive, medical, process industries, and aerospace. One way to make this technology available to many designers, i.e. to move the technology from the specialized research laboratories to the commercial market is to offer low cost prototyping and small volume production by applying the same principles as those applied 15 years ago for integrated circuits: a multi-project wafer (MPW) approach, where the cost of a wafer is shared among multiple users. CMP is introducing Micro Electro Mechanical Systems (MEMS) fabrication based on CMOS 1.0 m. DLM/SLP front side bulk micromachined by EDP at ESIEE. MEMS like cantilevers, membranes, microbridges, etc... may be processed together with the electronics. Design rules have been defined, available to designers upon signature of a Confidentiality and Licence Agreement (CLA). A CADENCE OPUS design kit is available to allow the generation of the layout including electronic and non-electronic parts. The kit includes an extended DRC and an extended parameter extractor (from layout to netlist) distinguishing electronic and non-electronic parts. A netlist is generated allowing an electrical simulation where bridges, cantilevers and membranes are considered as a resistance, and a behavioural simulation where these structures are represented by a model in the language HDLA/ELDO from ANACAD. Other CMOS processes, surface micromachining, GaAs processes, LIGA, Quartz micromachining will be introduced gradually. The cost of the service is 1500 FF/mm2, 15 samples being returned to the user, which is affordable even by small companies. The design rules, the design kit including extended DRC and extended extractor, as well as a basic library are available. B. COURTOIS, Director of CMP, said that "introducing microsystems, CMP will contribute to the development of this market, as CMP contributed to the development of integrated circuits 15 years ago when CMP pioneered MPW service for microelectronics. There are 2 conditions to the development of that market: a low-cost access to prototyping, and CAD tools. CMP is greatly contributing to both issues, by extending fabrication and design facilities well known to present IC designers. To make use of infrastructures that were developed 20 years ago for microelectronics will allow economy of 245 Informacije MIDEM 25(1995)3, Ljubljana scale and thus push microsystems from research laboratories to a massive commercial market. CMP is proud to represent the European effort in this approach, since presently only USA have introduced it with ARPA and MOSIS efforts. CMP is pioneering the efforts here, as it was a pioneer in Europe 15 years ago". J. PERRIN, Director of Group ESIEE, said: "The industrial equipment of ESIEE includes 300 m2 of 10.000 and 100 class clean rooms fully equipped forIC manufacturing and microsystem technology. That equipment includes furnaces, LPCVD, PECVD, contact optical aligners, double-side aligner, anodic bonding, silicon direct bonding, anisotropic wet chemical silicon etching, plasma RIE. ESIEE is thus very well prepared to work with CMP on the development of microsystems by offering a professional post-processing facility". Design rules example Cost: • 1 500 FF/mm2, 5 mm2 minimum charge. • 15 samples returned including 5 samples packaged. Documents and files available upon request: 0 CMP Micromachines Program (October 1995). 8 ES2 CMOS 1.0 m Front-side Bulk Micromachin-ing Design Rules, upon signature of a CLA. • Design kit including extended DRC and extended extractor, upon signature of a CLA. • Cell library, being continuously expanded, upon signature of a CLA. See samples overleaf. Next runs: • 7 December 1995 • 9 April 1996 • 19 February 1996 9 17 June 1996 Design rules checking: prediction of a post-process etching problem layer: 60. name: N + IMPLANT. Rule Number Parameter min. dimension M6Q1 width of N + implant 1.5 M602 spacing between 2 areas of N+ implant 2 M603 spacing to microstructure area 5 M604 margin to microstructure area 1 M605 neither N+ implant pin nor N+ implant net is allowed inside microstructure area: N+ implant must not be used for interconnections inside microstructure area Instanciation of the micro-bridge parameterized cell 246 Informacije MIDEM 25(1995)3, Ljubljana Electronics, February 1995 mÊÊSÊÊKSIiSiËÊiÊi 8-Bit MCU market screams for product In some 8-bit microcontrollers lines, customers face backlogs up to 50 weeks for product shipments, declared Dataquest Inc. in San Jose. Jerry Banks, principle analyst at the market research firm, said Motorola inc. of Austin, Texas, caused the renaissance with its 68HC05, priced under US$ 1. The micro-bridge obtained by front side bulk micromachining CMP is a broker for a number of technologies (prototyping and low volume production). Since 1981, 140 Institutions from 30 countries have been served. More than 1600 projects have been prototyped through 130 runs, 15 semiconductor houses have been interfaced. • Integrated circuits 1.2 (.1,1.0 |i, 0.7 |i CMOS DLM from ES2 1.2 jii, 0.8 |i CMOS DLP/DLM from AMS 1.2 pi, 0.8 |i BiCMOS DLP/DLM from AMS 0.5 |i CMOS TLM from SGS-Thomson/France Telecom (JESSI) 0.6 (i GaAs from VITESSE 0.2 |i GaAs HEMT from PHILIPS (up to 90 Ghz) • Micromachining • CAD software: CADENCE, COMPASS, VIEWLOGIC, TANNER, ... 8 MCM and 3D packaging MCM-L and MCM-LD from Montpellier Technologies/IBM MCM-C (HTCC) from Montpellier Technologies/IBM MCM-C (PCM, LTCC, Thick/thin film on alumina) from DASSAULT Electronique/SOREP 3D-MCM (MCM-V) from Thomson-CSF DOI. • Design kits: available from most of the processes to: ALLIANCE DOLPHIN MAGIC MENTOR GRAPHICS CADENCE EXEMPLAR information: CMP B. Courtois/J.M Karam 38031 Grenoble Cedex FRANCE MDS SYNOPSYS COMPASS TANNER VIEWLOGIC Tel.: +33 76 57 46 20 Fax: +33 76 47 38 14 e-mail: cmp@archi.imag.tr www: http://ltima-cmp.imag.tr At this low price, many applications using 4-bit microcontrollers switched to 8-bit units and, in the process, made the 68HC05 the leading chip in this market category. The 8-bit market is growing 15% a year and currently outsells 16-bit microprocessors 14 to 1. The second highest shipping 8-bit microcontroller architecture is the 8051. It holds 28% of the 1993 market. Banks credits Philips Semiconductor of Sunnyvale, Calif., for keeping 8051 alive in the market. Intel Corp. of Santa Clara, Calif., who created the chip, now wants back in the market. Today (13 Feb.), it debuted a new version (see related story). Banks said another reason for the popularity of 8-bit processors is they use fab capacity being abandoned by products migrating to the next level of process technology. It is not cost effective to build a new facility to make the parts. For example, Intel's new 8051 version is a high-volume chip for 0.8-micron fab capacity being abandoned by the 486 and Pentium CPUs. Motorola's problem is not having excess fab capacity. It is buying existing IC fabs from other companies to build 8-bit processors. LOW-END EMBEDDED MARKET in billions of U.S. dollars and (biliions of units) 8-Bit MCUs 4-Bit MCUs 8-Bit Embedded MPUs $4.60 i {1.64) $5.25 j JsJMiliL. Intel aims to take back 8051 market After long ignoring the 8051 microcontroller market, Intel Corp. today reentered the market with its new 8xC251 SB. Continued strong customer demand for the 8-bit chip that the Santa Clara company invented 15 years ago pulled it back into the market. The pervasiveness of the architecture in telecom line cards, phones, modems, printers, copiers, CD ROM drives, and hard disk drives ensures Intel a ready market 247 Informacije MIDEM 25(1995)3, Ljubljana for the new chip. Its great advantage is an enriched 16-and 32-bit instruction set at a price of US $6 to $7 in large quantity. Yet another strong attraction for the product is its ability to run existing 8-bit binary programs unchanged with a five-times performance boost. The 8xC251SB's major competitor-the 80C51 XA 16-bit upgrade CPU debuted last year from Philips Semiconductor of Sunnyvale, Calif.-requires recompiling 8-bit code. Intel claims rewriting 8bit code for its chip boosts performance 15 times. Features of Intel's new chip include a three-stage pipeline and a register-based CPU architecture, in addition to an expanded instruction set. A larger 1 kbyte of on-chip RAM also boosts performance. Available now, the CPU comes with 16 kbytes of on-chip onetime-programmable memory or ROM. ROMIess versions are also available. KOLEDAR PRIREDITEV DECEMBER 04.12.-06.12. 1995 5th INTERNATIONAL SEMINAR ON DOUBLE LAYER CAPACITORS AND SIMILAR ENERGY STORAGE SYSTEMS Deerfield Beach, Florida, USA Info.: -I- 00 1 407 338 8727 11.12.-15.12.1995 SEMICONDUCTOR TECHNOLOGY SEMINAR Austin, Texas, USA Info.: 4- 00 1 407 941 8272 06.12.-08.12.1995 SEMICON JAPAN 95 Mukuhari, JAPAN Info.: +00 1 415 964-5111 (USA) 10.12.-13.12.1995 INTERNATIONAL ELECTRON DEVICES CONFERENCE Washington DC, USA Info.: + 00 1 301 527 0900 JANUARY 16.01.-17.01.1996 OSHA LAB STANDARDS SEMINAR Orlando, Florida, USA Info.: + 00 1 412 457 6576 22.01.-25.01.1996 REALIBILITY AND MAINTAINABILITY SYMPOSIUM Las Vegas, Nevada, USA Info.: + 00 1 708 255 1561 FEBRUARY 04.02.-06.02.1996 EUROPIAN INDUSTRY STRATEGY SYMPOSIUM Dresden, Germany Info.: + 00 49 32 2736 2058 05.02.-06.02.1996 1st EUROPIAN WORKSHOP ON MICROELECTRONICS EDUCATION Grenoble, France Info.: + 00 33 76 57 46 82 06.02.-08.02.1996 DISPLAY WORKS '96 San Jose, CA, USA INfo.: + 00 1 415 967 5375 12.02.-16.02.1996 EMERGING MICROELECTRONICS & INTERCONNECTION TECHNOLOGIES CONFERENCE Info.: + 00 91 80 662 8091 26.02.-29.02.1996 FAILURE AND YIELD ANALYSIS SEMINAR Tampa, Florida, USA Info.: + 00 1 415 941 8272 MARCH 04.03.-07.03.1996 SEMICONDUCTOR PURE WATER AND CHEM CONFERENCE Santa Clara, C, USA Info.: + 00 1 408 734 2276 10.3.-15.03.1996 INTERNATIONAL SYMPOSIUM ON MICROLITOGRAPHY Santa Clara, CA, USA Info.: + 00 1 800 483 9043 11.03.-14.03.1996 EDAG-ETC-ASIC Paris, France Info.: + 00 33 76 57 47 47 248