c h r* r- I- i RATEp OPTICAL POSITION MICROSYSTEM LABORATORY FOR MICROELECTRONICS FACULTY OF ELECTRICAL ENGINEERING, LJUBLJANA ISSN 0352-9045 INFORMACIJE MIDEM, LETNIK 32, ST. 1(101), LJUBLJANA, marec 2002 UDK 621,3:(53+54+621 +66)(05)(497.1 )=00 ISSN 0352-9045 INFORMACIJE 1 o 2002 INFORMACIJE MIDEM LETNIK 32, ŠT. 1(101), LJUBLJANA, MAREC 2001 INFORMACIJE MIDEM VOLUME 32, NO. 1(101), LJUBLJANA, MARCH 2001 Revija izhaja trimesečno (marec, junij, september, december). Izdaja strokovno društvo za mikroelektroniko, elektronske sestavne dele in materiale - MIDEM. Published quarterly (march, june, september, december) by Society for Microelectronics, Electronic Components and Materials - MIDEM. Glavni in odgovorni urednik Editor in Chief Tehnični urednik Executive Editor Dr. Iztok Sorli, univ. dipl.ing., MIKROIKS d.o.o., Ljubljana Dr. IztokŠorli, univ. dipl.ing., MIKROIKS d.o.o., Ljubljana Uredniški odbor Editorial Board Časopisni svet International Advisory Board Naslov uredništva Headquarters Doc. dr. Rudi Babič, univ. dipl.ing., Fakulteta za elektrotehniko, računalništvo in informatiko Maribor Dr.Rudi Ročak, univ. dipl.ing., MIKROIKS d.o.o., Ljubljana mag.Milan Slokan, univ. dipl.ing., MIDEM, Ljubljana Zlatko Bele, univ. dipl.ing., MIKROIKS d.o.o., Ljubljana Dr. Wolfgang Pribyl, Austria Mikro Systeme International AG, Unterpremstaetten mag. Meta Limpel, univ. dipl.ing., MIDEM, Ljubljana Miloš Kogovšek, univ. dipl.ing., Ljubljana Prof. Dr. Marija Kosec, univ. dipl. ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Slavko Amon, univ. dipl.ing., Fakulteta za elektrotehniko, Ljubljana, PREDSEDNIK-PRESIDENT Prof, dr. Cor Claeys, 1MEC, Leuven Dr. Jean-Marie Haussonne, EIC-LUSAC, Octeville Dr. Marko Hrovat, univ. dipl.ing,, Inštitut Jožef Stefan, Ljubljana Prof. dr. Zvonko Fazarinc, univ. dipl.ing., CIS, Stanford University, Stanford t Prof. dr. Drago Kolar, univ. dipl.ing., Inštitut Jožef Stefan, Ljubljana Dr. Giorgio Randone, ITALTEL S.l.T. spa, Milano Prof. dr. Stane Pejovnik, univ. dipl.ing., Fakulteta za kemijo in kemijsko tehnologijo, Ljubljana Dr. Giovanni Soncini, University of Trento, Trento Prof.dr. Janez Trontelj, univ. dipl.ing., Fakulteta za elektrotehniko, Ljubljana Dr. Anton Zalar, univ. dipl.ing., ITPO, Ljubljana Dr. Peter Weissglas, Swedish Institute of Microelectronics, Stockholm Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 1000 Ljubljana, Slovenija tel.: + 386(0)1 51 12 221 fax: + 386(0)1 51 12 217 e-mail: Iztok.Sorli@guest.ames.si http://paris.fe.uni-lj.si/midem/ Letna naročnina znaša 12.000,00 SIT, cena posamezne številke je 3000,00 SIT. Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Annual subscription rate is EUR 100, separate issue is EUR 25. MIDEM members and Society sponsors receive Informacije MIDEM for free. Znanstveni svet za tehnične vede I je podal pozitivno mnenje o reviji kot znanstveno strokovni reviji za mikroelektroniko, elektronske sestavne dele in materiale. Izdajo revije sofinanci rajo Ministrstvo za znanost in tehnologijo in sponzorji društva. Scientific Council for Technical Sciences of Slovene Ministry of Science and Technology has recognized Informacije MIDEM as scientific Journal for microelectronics, electronic components and materials. Publishing of the Journal is financed by Slovene Ministry of Science and Technology and by Society sponsors. Znanstveno strokovne prispevke objavljene v Informacijah MIDEM zajemamo v podatkovne baze C0BISS in INSPEC. Prispevke iz revije zajema ISI3 v naslednje svoje produkte: Sci Search®, Research Alert® in Materials Science Citation Index™ Scientific and professional papers published in Informacije MIDEM are assessed into C0BISS and INSPEC databases. The Journal is indexed by ISI® for Sci Search®, Research Alert® and Material Science Citation Index™ Po mnenju Ministrstva za informiranje št.23/300-92 šteje glasilo Informacije MIDEM med proizvode informativnega značaja. Grafična priprava in tisk BIRO M, Ljubljana Printed by Naklada 1000 izvodov Circulation 1000 issues Poštnina plačana pri pošti 1102 Ljubljana Slovenia Taxe Perçue UDK621.3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 32(2002)1, Ljubljana ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS H.Ymeri, B.Nauwelaers, K.Maex: Semi-analitični pristop izračuna kapacitivnostne matrike večslojnih povezav v VLSI vezjih primeren za fačunalniško podprto načrtovanje 1 H.Ymeri, B.Nauwelaers, K.Maex: CAD-oriented Semi Analytic Approach for Capacitance Matrix Computation of Multilayer VLSI Interconnects J.Krč, F.Smole, M.Topič: Eno-dimenzionalnl delno-koherentni optični model tankoplastnih sončnih celic s hrapavimi spoji 6 J.Krč, F.Smole, M.Topič: One-dimensional Semi-coherent Optical Model for Thin Film Solar Cells with Rough Interfaces M.Santo Zamik: Uporaba analize po metodi končnih elementov pri načrtovanju debeloplastnih hibridnih vezij 14 M.Santo Zamik: The Application of Finite-element Analysis in the Design of Thick-film Hybrid Circuits A.Žižek, 0.Težak, Š.Čelan: Model napovedovanja odpovedi 22 A.Žižek, 0.Težak, Š.Čelan: Failure Prediction Model B.Malič, M.Kosec, J.Cilenšek: Sočasno sintranje keramične kompozitne strukture 33 B.Malič, M.Kosec, J.Cilenšek: Co-firing of Ceramic Heterostructures: a Case Study J.Tušek, M.Uran: Analiza spajkanega spoja srebra in bakra 39 J.Tušek, M.Uran: Analysis of a Brazed Joint of Silver and Copper J.Dedič, A.Žemva: Inteligentni preizkuševalnik in polnilnik baterij 44 J.Dedič, A.Žemva: Intelligent Battery Tester and Charger B.Ratej, A.Chowdhury, R.Svečko: Mikrokrmilniški sistem za samodejno usmerjanje mikrovalovnih anten 50 B.Ratej, A.Chowdhury, R.Svečko: Microcontroller System for Self-positioning of Microwave Antennas W.Pribyl: Nudenje uslug pri proizvodnji analogno-digitalnlh integriranih vezij - Poslovni model prihodnosti 57 W.Pribyl: Mixed Signal Service and Foundry-A Business Model for the Future PRIKAZ MAGISTRSKIH DEL IN DOKTORATOV LETU 2001 61 M.S. and Ph.D ABSTRACTS, YEAR 2001 PREDSTAVLJAMO LABORATORIJ Z NASLOVNICE 69 WE PRESENT LABORATORY FROM THE FRONT PAGE Predstavitev Laboratorija za mikroelektroniko na Fakulteti za elektrotehniko Laboratory for Microelectronics at Faculty of Electrical Engineering presented KONFERENCA MIDEM 2002 -PRVO OBVESTILO 70 MIDEM 2002 CONFERENCE - Announcement and Call for Papers MIDEM prijavnica 76 MIDEM Registration Form Slika na naslovnici : Vezje za merjenje pozicije z integriranim optičnim senzorjem, načrtano in izdelano v Laboratoriju za mikroelektroniko na Fakulteti za elektrotehniko v Ljubljani Front page : Integrated optical position microsystem, designed and processed in Laboratory for Microelectronics at Faculty of Electrical Engineering in Ljubljana VSEBINA CONTENT 38th INTERNATIONAL CONFERENCE ON MICROELECTRONICS, DEVICES AND MATERIALS and the WORKSHOP on PACKAGING AND INTERCONNECTIONS IN ELECTRONICS Announcement and Call for Papers October 09. - 11. 2002 Lipica, SLOVENIA http ://paris.fe.uni-lj .si/midem/conf2002/ $ Elektrotehniška Slovenia Zveza Slovenije ^^ Section IEEE UDK621.3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 31(2001)4, Ljubljana CAD-ORIENTED SEMI ANALYTIC APPROACH FOR CAPACITANCE MATRIX COMPUTATION OF MULTILAYER VLSI INTERCONNECTS H. Ymeri1, B. Nauwelaers1, K. Maex1,2 1Katholieke Universiteit Leuven, Department of Electrical Engineering ( ESAT), Division ESAT-TELEMIC, Leuven-Heverlee, Belgium 2IMEC, Leuven, Belgium Key words: semiconductors, electronics, microelectronics, IC, Integrated Circuits, lossy interconnections, VLSI multilayer circuits, Very Large Scale of Integration multilayer circuits, capacitance matrices, interconnect conductors, Fourier projection method, mutual capacitance, Mei method, Green functions, CAD, Computer Aided Design Abstract : In this paper, we present a new approach for capacitance matrix calculation of lossy multilayer VLSI Interconnects based on quasi-static analysis and Fourier projection technique. The formulation is Independent from the position of the interconnect conductors and number of layers in the structure, and is especially adequate to model 2-D and 3-D layered structures with planar boundaries. Thanks to the quasi-static algorithms considered for the capacitance analysis and the expansions in terms of convergent Fourier series the tool is reliable and very efficient; results can be obtained with relatively little programming effort. The validity of the technique is verified by comparing its results with on-surface MEI method, moment method for total charges in the structure, and CAD-oriented equivalent-circuit methodology, respectively. Semi-analitični pristop izračuna kapacitivnostne matrike večslojnih povezav v VLSI vezjih primeren za računalniško podprto načrtovanje Ključne besede: polprevodniki, elektronika, mlkroelektronika, IC vezja integrirana, povezave vmesne izgubne, VLSI vezja integracije zelo visoke stopnje večplastna, matrike kapacitivne, vodniki povezav medsebojnih, Fourier metoda projekcije, kapacitivnost medsebojna, Mei metoda, Green funkcije, CAD snovanje računalniško podprto Izvleček: V prispevku predstavljamo nov pristop k izračunu kapacitivnostne matrike izgubnih večslojnih povezav v VLSI vezjih. Le-ta sloni na kvazl-statični analizi in Fourier metodi projekcije. Oblika je neodvisna od števila in položaja prevodnih povezav v sloju in je posebno primerna za modeliranje 2-D in 3-D struktursplanarnimi mejami. Vsled uporabe kvazi-statičnih algoritmov za analizo kapacitivnosti In razvoja v konvergentne Fourier vrste, je omenjeno orodje zanesljivo in izredno učinkovito; rezultate lahko dobimo z relativno malo truda pri programiranju. Veljavnost tehnike smo preverili s primerjavo rezultatov dobljenih z dvema drugima pristopoma. 1. Introduction Calculation of the capacitance matrix in multilayer IC Interconnects is a well-known problem that can be solved by many analytical and numerical techniques /1-9/. Often these procedures were based on the Integral equation formulation, differential equation formulation, or have been the results of extensive numerical simulations using adequate empirical corrections. This letter proposes a new and more general formulation for computation of capacitance matrix of the most common 2-D interconnect structures using quasi-static analysis and Fourier projection approach. 2. Background of the method In the formulation, 2-D L-layered Interconnect structures with planar boundaries are considered. Each layer is linear, homogeneous, and isotropic, and has permittivity e® and conductivity a®, where I = 1.....L. For lossy medium the complex permittivity lse(l) = e(l)- ja/co. The point charge source is located along y = 0, x = xs and z = zs, respectlvely(see Fig. 1). Dirac point charge 7 El l ! / ry/ d Field^point^o / ^^Ff ^ - :. d' Fig. 1. Geometry of a layered structure for multilayer Green's function determination. 1 H. Ymeri, B. Nauwelaers, K. Maex: CAD-oriented Semi Analytic Approach Informacije MIDEM 32(2002)1, str. 1-5 for Capacitance Matrix Computation of Multilayer VLSI Interconnects Inside each layer I and excluding the source point layer, the potential function (p(l) satisfies vy° =0 and the induction vector D(l) is obtained from D(,) =-e(lW0 Dn,l exp(-Knmzf )\cos(knxf )cos(kmyf ) (5) where kn = nn/a, km = rrm/b, Knm = (kn2 + km2)1/2, (xf,yf,Zf) are field point coordinates, and a and b are dimensions of the structures in x and y direction. Considering (2), D(s) is given by D(i) (xf, y f, zf ) = Dp (xf, y f ,zf) + T>H(xf,yf, zf ) (6) with BP(xf,yf,zf) = Q 4n (xf-xs)lx+yfly+(zf-zs)lz ixf-xsf+y)Hzf-zs?V (7) and D H (xf, yf ,z,) = H X kn exp( ^ zf ) + I H,H!>0 A™ exp{-Knmzf )]sin(^nx/)cos(kmy f ) lx + H YJkmk;:,cxp(Kmnzf) + i,m> 0 Dnl exP(-K„mzf )]cos(/:„x/ ) sin(&m y f ) jl , "^jl^teex p(Knmzf)-[«,»!> 0 Dn,l exP(~^s™/ )]cos(â:/)x/ )cos(kmyf )|l(8) In the other layers, the solutions are 0 D»1 ^P(-K,mZf)\cos(knxf)cos(kmyf) and D(,) (X, ,yf,zf) = £il)\YJ K fell expC^ Z/ ) + n,m>0 exp(-Knm zf )]sin(*„xf ) cos(km y f ) llx + HiUOxpca:.....zf) + Dl,',l exp{-Knm zf )}cos(knxf ) sin(km y f ) l_y H.m> 0 Dn,l exp{-Kmnzf )]cos(^,x/ )cos(*„,yf ) k (10) The potential function distribution (p(l) and the normal component of electric induction vector D(l) are expressed by series expansions in terms of solutions of the Laplace equation (1 ). One such expansion is written down for each homogeneous region of the layered structure in Fig. 1. The expansion coefficients Cnm(l) and Dnm(l) of the different series are related to each other and to the charge density distribution on the interconnect conductors via boundary conditions. Then, coefficients Cnm(l) and Dnm(l) are determined recursively. In this way we have found the multilayer Green's function G(n; rs) of the probelm. By deriving the Green's function over a multilayer dielectric region and al- 2 H. Ymeri, B. Nauwelaers, K. Maex: CAD-oriented Semi Analytic Approach for Capacitance Matrix Computation of Multilayer VLSI Interconnects Informaclje MIDEM 32(2002)1, str. 1-5 lowing evaluation of potential distribution in any layer, we can place interconnect conductors anywhere in the multilayer structure, and therefore solve for the capacitance per unit length matrix for an arbitrary number of conductors. 3. Capacitance matrix calculation In the following the complex capacitance calculation procedure will be treated in more detail. In an equivalent circuit, the value of a capacitance is the ratio of the free charge associated with a voltage difference between two interconnect conductors or between an interconnect conductor and the reference (e.g. the ground plane or the point at infinity), and that voltage difference. The values of these capacitances are known as network capacitances. According to the equivalent source principle for the electromagnetic field, we can replace the rectangular conductor (c)(see Fig. 2a) with a piece of surface charge density distribution oc(rs) around the surface Sc, as shown in Fig. 2b. Using a Green's function of the medium G(r/;;rs) that incorporates all boundary conditions in the structure in Fig. 2b (see Sect. 2), the voltage at any point r is generated by the charge density oc(rs) on all conductors (c = 1,...,N) c-l Element Ccj of the capacitance matrix [C] may be calculated as the charge Q0 per unit length on conductor (c) when the voltage on conductor (j) is 1 and 0 V on all other conductors. The charge per unit length on conductor (c) is the integral of the surface charge density ac(rs) over the circumference of conductor (c): Qc = jjc) ac (rs )dSc . The charge distribution on every conductor (c) may be approximated by a number Nb of well-chosen basis functions ac,r=i.....Nb(rs) along the contour of the conductor: • The problem has been reduced b) Fig. 2. Geometry of a layered structure with (a) embedded conductors, and (b) charge density distribution on the discretized surface of the conductors. to the computation of the discrete charge constants {Wc=i...n, r=i...Nb). As the result we obtain a series of simultaneous equations and represent them as follows: N Nh =VM...N (12) C=1 /'=1 where Vj=i...n is the voltage on any conductor (j), with Pi\c,\t (j) «Jr^IS; (13) as potential coefficients of the Galerkin matrix. Solving the matrix equation (12) on a computer, we can determine the constants {Wc,r} and then the capacitance per unit length CCj can be obtained in the form: Cc, =Qc(Vj =1 =0) = flWc^ aetr(re)dSe (14) /--i The lossy semiconducting substrate is taken into account by the complex permittivity e = £ - i- cs .V J o CO (15) a) where es is the permittivity and a conductivity of the semiconducting substrate (silicon). Due to the quasi-TEM character of the electromagnetic fields in the examined structure the frequency dependent distributed admittance per unit length Y can be calculated as 3 Informacije MIDEM 32(2002)1, str. 1-5 H. Ymeri, B. Nauwelaers, K. Maex: CAD-orlented Semi Analytic Approach for Capacitance Matrix Computation of Multilayer VLSI Interconnects Y = G + jcoC = j co Q_ AV (16) where Q is the total charge per unit length, AV denote the voltage difference between the conductors, G is the conductance per unit length (losses) and C Is the capacitance per unit length. 4. Discussion of the results In this section we apply the new procedure to calculate some examples. In these examples we use multilayer IC interconnects whose strip conductors are infinitely thin (zero-thickness) or of rectangular cross-section and very thick (as usually in on-chip interconnets). Example 1 Let us consider the system of four strip conductors embedded in a two-layered dielectric region with structure as shown in Fig. 3, where the conductors are numbered from left to right and upperto lower as 1, 2, 3 and 4, respectively. Numerical values for the capacitance matrix elements, generated by the proposed approach (has been used the moment method) and by a on-surface MEI procedure /1 / and moment method with total charge In structure /5,8/, respectively, are given in Table I. Note that the discrepancies between the values generated by our approach and one by/5,8/ are practically smaller than 0.2% over a wide range of physical dimensions and material parameters (all treated cases are not reported In this letter). r Magnetic wall ws w p i - 2 -H-1- -t-* -Í* t.,2 ! w Electric wall w H2 H3 H1 E„ I = 1 Example 2 In order to prove the validity of the given approach self and mutual per unit length shunt admittance (capacitance and conductance per unit length) calculated using our procedure are compared with the results of the full-wave analysis (spectral domain approach) in conjunction with equivalent circuit modeling technique /9/. In Fig. 4, an asymmetric coupled interconnect structure is depicted with the following electrical and geometrical parameters: tSi = 500 jim, tox = 2 |j.m, wi = 4 jxm, W2 = 1 pirn, Ti = T2 = 1 fxm, 6Si = 11.8, pSi = 0.01 £2cm, e0x = 3.9 and s = 4 |im. 1 + JUT -X- SiO, Silicon Fig. 4. Asymmetric coupled interconnects on lossy silicon substrate. Fig. 5a shows the variation in the distributed self and mutual capacitance per unit length Cn(co), C22 4 4 £ L„ (/.,çv0 ■v* -v»! -v* -'V+f-V^-V* I +J**- I ■W* i 4 £ 4 #14 £14 ■rv* n(A)\E+(A,x)\ - n{A)\E~{A,x)\ -2k{A)lm E~(A,x)E+ (A,x) = Idil + (A,x)- Id ~(A,x) - K(A,x) (1) The scattered light is analysed incoherently in the model, therefore It can be presented by the intensities /Scatt+(A, cp, x) and /scattYA cp, x) directly and not by electric field strengths of the electromagnetic waves. In the model the optical circumstances at flat and rough interfaces are specified for direct coherent and scattered incoherent light. In sections II.A-II.D all four combinations (flat interface - direct light, flat interface - scattered light, rough interface - direct light and rough interface - scattered light) are described in detail. In section II.E the propagation of direct light and spreading of scattered light across the structure are determined. To simplify the indexing of light intensities, the subscripts "dir" and "scatt" are left out in further analysis. At the interfaces also positional dependency (x) is left out from the denotations of light intensities. II.A Incidence of direct coherent light at a flat interface Since the electromagnetic waves of direct light are transversal and since they propagate perpendicularly to the Interfaces, all the components of electric field strengths are parallel to the Interfaces, as depicted in Fig. 2. Fig. 1: Propagation of direct coherent light and spreading of scattered incoherent light in a thin film solar cell structure with smooth and rough interfaces. 7 Informacije MIDEM 32(2002)1, str. 6-13 J. Krc, F. Smole, M. Topic: One-dimensional Semi-coherent Optical Model for Thin Film Solar Cells With Rough Interfaces Eh~a) incident reflected & transmitted E¿(A) Fig. 2: Electromagnetic waves of direct coherent light at a flat interface. Therefore, in the model the electric field strengths on the left (L) side, El(X) and EC(X), can be calculated from the known values of electric field strengths on the right (R) side of the interface, Er+(X) and Er(X), by Eq. 2 and Eq, 3, respectively. The symbols Ni(X) and Nr(X) correspond to complex refractive indexes of the left and right layer forming the interface. Nl(Á) + njá) NM) NM)-NM) E/a) + NLa) E~a) (2) E¿a) NLa)-nRa) NM) NM) + NM) NM) E:a) + E~a) (3) In the figure El(X) and Er~(X) are the electric field strengths of the Incident light which falls to the interface from left and right side, respectively, whereas EC(X) and Er+(X) present the combined components of reflected and transmitted direct light. The corresponding light intensities at left side, lL+(X), lL'(X) and KL(X), and right side, Ir(X), /r" (X) and Kr(X), of the interface can be calculated by means of Eq. 1. II.B Incidence of scattered light at a flat interface In Fig. 3, the situation at a flat interface illuminated with scattered light from left side, li(X, (f>0, and right side, Ir'(X, (Pr), is symbolically illustrated. In this case the angular dependent intensities of reflected (r) light, ly'(X, (pir) and Ir*(ä, (prr), and transmitted (t) ligh lu(X, (pit) and Irx(X, (PrO, are shown separately in the figure. At the interface, for each discrete beam of incident light the intensity and direction (angle) of reflected and transmitted components has to be determined. Due to superposition of the intensities, which can be assumed for incoherent scattered light, the situation can be analysed for left and right side illumi- nation independently. Next we focus on left side illumination only. reflected / I,, \ L r/ / ¡CUM) mat ' lu(ÁMr)-^ Fig. 3: Intensities of scattered light at a flat interface. If the intensity of the leftside illumination, Il(X,(pO, is known the reflected, lLr'(X,(pLr), and transmitted, Ir\*(X,(Pr\), components can be calculated by Eq. 4 and Eq. 5, respectively. The angles (pu-and (pRt correspond to the directions of incident, reflected and transmitted light beams, respectively. The Rhvl(X,(Pl, o ; KJA)< o (16) + ; KJÀ)>0 (17) From /r k(X) and /r k(X) the components k k(X) and II k" (X) of specular light on the left side of the interface can be determined, using Eq. 18 and Eq. 19, respectively. llk (A): 1 tlrspcm) -L r> - Rspec a) We(^) ■I rk u) (18) U) T * i ) RRspeM)-R L spec LR spec U)- spec spec TLRspeM) lRK (19) In the equations the reflectance Rr spec(X) and transmit-tance TRLSpec(X) correspond to the case of right side illumination. They can be determined by Eq. 10 and Eq. 12 where all indexes T' should be substituted with "R" and vice versa. 9 Informacije MIDEM 32(2002)1, str. 6-13 J. Krc, F. Smole, M. Topic: One-dimensional Semi-coherent Optical Model for Thin Film Solar Cells With Rough Interfaces From the calculated intensities Ilk+(X) and Ilk (A) the absolute values of electric field strengths of coherent light, \El(X) \ and \EC(X)\, on the left side can be obtained If the direction (sign) of Kl(X) is known. The sign of Kl(X) can be determined if the phases, Jl(X) and Jl(A), of the electric field strengths (£L+aj=|EL+(/lJ|e/'5L+aj and EC (A)=\EL(/t)\ei6L~i;i>) are known. Simulations indicated that these phases have significant influence on the position of the interference pattern In the wavelength dependent QE of the solar cells. Moreover, from measured QEs of the solar cells can be observed that the position of the interference pattern is in general not affected by the owns. The value of the ovms influences only the intensity of the interference pattern. These findings lead us to the assumption that in case of a rough interface the phases of electric field strengths can be deduced from the case of flat interface. Thus, to determine tit(X) and fiCfX), first the electric field strengths, El +(X) and El' '(X), of the corresponding flat interface are calculated by means of Eq. 2 and Eq. 3. Then, the phases +(X) and A'(A)=$l '(X) can be de- termined by Eq. 20 where "+" and "-" signs correspond to the electric field strength of forward-going and backward-going waves, respectively. arctg arctg n{eI'-{X)\ EtU)\ nfrra)] Wvi. + 71 (20) From the relation Kl(X) = Yok(X)\m[E(X) E+ '(X)], which originates from Eq. 1, it can be found out that the sign of Kl(X) can be indicated by Eq. 21. \e~M\= 1a) Y0nL(A) (22) 1 V0nL (A) ■ IE+L U)f - Y0kL (A) ■ \E'l {A)\ ■ sin(t9" (A) - %(A))-Et(A)-IL/(A) = 0 (23) If Kl(X) is positive, the role of |£i_YAJ| and \El(X)\ in Eq. 22-23 exchanges. Knowing \ EC(X)\, \El+(X)\ , Jl(X)and JC(X), the complex electric field strengths of the specular coherent light on the left side of the rough interface are determined and the corresponding specular intensities lLspec+(X), iLspec(X) and Kl(X) can be calculated. The diffused components in reflection, lu m(A,(p), /Rr dii+(X,(p), and in transmission, /udifYA,(p), lmdit+(X,(p), can be calculated from Ilk+(X) and Irk (A) which presents the illumination from left and right side of the interface, respectively. By determining the incoherent diffused parts of light, the superposition of left and right side illumination is assumed. Therefore, we will determine only the components lLrd>f(X,(p), and Ind/iXcp) which correspond to the leftside illumination. The diffused component in reflection, /[_rdif (X,(p), and in transmission, lmau+(X,(p), can be calculated by Eqs. 24-25. RLda(X) and TLRdit(X) were defined by Eq. 11 andEq. 13, respectively. The angular distribution function for the case of direct Incident light, f\((p), was chosen to be a normalised cos2(cp) function /7/ in our simulations. However, f(cp) is an input parameter of our optical model, thus an arbitrary selection of f(cp) can be made. W U. & = f«P> RL«f • IlkW (24) sin(tf~ {A) - ti! {A)) > 0 => Kl (A) > 0 else K, (A)< 0 (21) In further analysis we use Eqs. 16-17 and substituting index "R" with index "U', since the leftside of the interface is under scope. According to the sign of Kl(X) appropriate relations for Ilk(X) and Ilk (a) can be chosen from Eqs. 16-17. Based on Eq. 1, ILspecVAj, /ispecYAj and Kl(X) can be written in terms of El+(X) and EC(X), where El+(X) and £i/|/U can be represented by their absolute values and phases. Since the phases are already known the two absolute values of electric field strengths, \El+(X)\ and |£l" (A) |, can be easily obtained. In the case of negative Kl(X) the | ElYA; | is determined by Eq. 22 whereas the |El+('AJ| is implicitly given by Eq. 23. h,dif+ (Av) = f {(P) ■ Tlr dif (A) ■ IL / (A) (25) II.D Incidence of scattered light at a rough interface For scattered incident light it is assumed that a part of it is additionally dispersed at a rough interface (diffused part) while the rest is spread as in the case of flat interface (specular part). A general situation, where the scattered light falls on a rough interface from left and right side is symbolically illustrated in Fig. 5. 10 J. Krc, F. Smole, M. Topic: One-dimensional Semi-coherent Optical Model for Thin Film Solar Cells With Rough Interfaces Informacije MIDEM 32(2002)1, str. 6-13 incident reflected specular , < « i IJUm) WW transmitted / . ..., , \ > specular / W | ^t h^a^ï reflected , , diffused \ transmitted/ diffused dif (A, Fig. 5: Incidence of scattered incoherent light at a rough interface. Due to the superposition we describe the analysis for the case of left side illumination only. To determine specular reflectance and transmittance for the case of scattered incident light, we have to introduce the angular dependency on the angle of incident light, (pi, and the angles of transmitted light, (pn, as shown in Eq. 26-27. KocM'MR^RhvM'MR,) ■ e (26) TLRspec (À> 20 •»-► 300 <-► Fig. 7: A schematic view of a-Si:H solar cell structure with rough interfaces. Since the deposited a-Si:H layers are relatively thin comparing the roughness of the TCO layer, all the subsequent layers of the structure are rough and have almost the same Orms as glass/TCO substrate. The measured (symbols) and simulated (lines) QE(X) of the solar cell are given in Fig. 8. The experimental data of the QE and the value of the arms of the TCO surface were provided by Delft University of Technology /7/. The curve in Fig. 8 corresponds to the simulation with the developed semi-coherent optical model. In calculations of the QE(A), a simplified but justified electrical analysis was applied, assuming the ideal extraction of charge carriers from the active /-layer and neglecting the contribution of the p- and n-layer /7/. In the model, the cos2(p function was used for the angular distribution function of the diffuse light In case of direct incident light, while in the case of scattered incident light the diffused light had half-circular distribution function. S 600 /l(nm) Fig. 8: Measured (symbols) and simulated (lines) quantum efficiency of the a-Si:H solar cell. Fig. 8 reveals a good agreement between experimental data and simulation. In particular, the position and intensity of the interference pattern, which could not be obtained with previous incoherent optical models, is matched well with the measured one. The fringes of the pattern are only moderately pronounced in the case of analysed solar cell, since the roughness of standard Asahi U -type substrate is relatively high. We expect that further verification on samples with lower interface roughness, which exhibit enhanced interference fringes in the QE(X), will reveal the benefits of semi-coherent model even more evidently. V. Conclusions One-dimensional semi-coherent optical model for thin-film solar cells with rough interfaces was presented. In contrast to existing Incoherent optical models, the direct (non-scattered) part of light is taken coherently all over the structure in our model. Thus, the simulations account also for the interference effects of direct light leading to the interference pattern in QE. Optical circumstances for direct coherent and scattered Incoherent light at flat and rough interfaces were described and propagation of both types of light defined. At rough interfaces the scalar scattering theory was used in order to determine the specular and diffused part of light In reflection and in transmission. A preliminary verification of the model using a single junction a-Si:H solar cell with rough interfaces was carried out. A good agreement with experimental QE was obtained, indicating the applicability of the semi-coherent optical model. 12 J. Krč, F. Smole, M. Topic: One-dimensional Semi-coherent Optical Model for Thin Film Solar Cells With Rough Interfaces Informacije MIDEM 32(2002)1, str. 6-13 Acknowledgement The authors are very grateful to M. Zeman from DIMES, Delft University of Technology, for the experimental data and useful discussions. References /1 / J. J. Wallinga/Texfureci transparent electrodes and series integration for amorphou silicon solar cells", Doctoral Dissertation, Utrecht University, The Netherlands, 1998. /2/ G.Tao, "Optical modeling and Characterization of Hydrogen-ated Amorphous Silicon Solar Cells", Doctoral Dissertation, Delft University Press, The Netherlands, 1994. /3/ F. Leblanc, J. Perrin, J. Schmltt, "Numerical Modeling of the Optical Properties of Amorphous Silicon Based PIN Solar Cells Deposited on Rough Transparent Conducting Oxide Substrates", J. of Appl. Phys. 75, (2), pp. 1074-1087, 1994. /4/ G. Tao, M. Zeman, J. W. Metselaar, "Accurate Generation Rate Profiles in a-Si:H Solar Cells with Textured TCO Substrates", Sol. Energ. Mat. Sol. C., 34: (1-4), pp. 359-366, 1994. /5/ A. Poruba, A. Fejfar, Z. Remes, J. Springer, M. Vanecek, J. Koc-ka, "Optical absorption and light scattering in microcrystal-line silicon thin films and solar cells", Journal of Applied Physics, Vol. 88, (2000), pp. 148-160. /6/ J. Krc, M. Topic, M. Vukadlnovic, F. Smole, "Optical Simulation of a-Si:H-Based PIN Solar Cell with Rough Back and Front TCO Layer", 36th MIDEM Conference Proc., pp. 253-258, Slovenia, 2000. /7/ M. Zeman, R.A.C.M.M. van Swaalj, J.W. Metselaar, "Optical modelling of a-Si:H solar cells with rough Interfaces: Effect of back contact and Interface roughness", Journal of Applied Physics, Vol. 88, (2000), pp. 6436-6443. /8/ H. E. Bennett, J. O. Porteus, "Relation between surface roughness and specular reflectance at normal incidence", J. Opt. Soc. Am. Vol 51, 1961. /9/ P. Beckmann, A. Splzzichino, "The Scattering of Electromagnetic Waves from Rough Surfaces", Pergamon press, 1963. /10/ Jin Au Kong, "Electromagnetic wave theory", John Wiley&Sons, 1990. Asst. Janez Krč, M. Sc. Faculty of Electrical Engineering University of Ljubljana Tržaška 25, SI-1000 Ljubljana, SLOVENIA tel.: +386 (0)1 4768 321, fax: +386 (0)1 4264 630 e-mail: janez.krc@fe. uni-lj.si Prof. Dr. Franc Smole Faculty of Electrical Engineering University of Ljubljana Tržaška 25, SI-1000 Ljubljana, SLOVENIA tel.: 386 (0)1 4768 330, fax: 386 (0)1 4264 630 e-mail: franc.smole@fe.uni-lj.si Assoc. Prof. Dr. Marko Topic Faculty of Electrical Engineering University of Ljubljana Tržaška 25, SI-1000 Ljubljana, SLOVENIA tel.: +386 (0)1 4768 470, fax: 386 (0)1 4264 630 e-mail: marko. topic@fe. uni-lj. si Prispelo (Arrived): 5.1.2002 Sprejeto (Accepted): 26.2.2002 13 Informacije MIDEM 31(2001)4, Ljubljana UDK621,3:(53+54+621 +66), ISSN0352-9045 THE APPLICATION OF FINITE-ELEMENT ANALYSIS IN THE DESIGN OF THICK-FILM HYBRID CIRCUITS Marina Santo Zarnik HIPOT- R&D, Šentjernej, Slovenia Keywords: electronics, electronic components, electronic circuits, hybrid circuits, thick film circuits, circuit design, circuit analysis, FEM, Finite-Element Method, FEA, Finite-Element method Analysis, temperature distribution, power circuits, laser cuts, electrical properties, thermal properties, numerical simulations, optimization Abstract: In this paper, practical examples of the application of finite-element analysis (FEA) in the design of hybrid thick-film circuits are presented. The electro-thermal behaviour of the circuits was modelled. Simulations were used to predict the resistance and steady-state temperature distribution of differently shaped thick-film resistive elements. A simulation-based analysis of resistor geometry and its position enabled the designer to optimise the circuit layout. In another example, FEA provided a means for visualising the transient-temperature field distribution in a hybrid thick-film circuit. Simulations were used to determine the optimum resistor dimensions and position. The presented examples show how simulations at an early stage of the design phase, or later in the redesign phase, can help to find the required solutions. Uporaba analize po metodi končnih elementov pri načrtovanju debeloplastnih hibridnih vezij Ključne besede: elektronika, deli sestavni elektronski, vezja elektronska, vezja hibridna, vezja debeloplastna, snovanje vezij, analiza vezij, FEM metoda elementov končnih, FEA analiza po metodi elementov končnih, porazdelitev temperature, vezja močnostna, rezi laserski, lastnosti električne, lastnosti termične, simulacije numerične, optlmiranje Izvleček: V prispevku so opisani primeri uporabe analize po metodi končnih elementov pri načrtovanju hibridnih debeloplastnih vezij. Poseben poudarek je na modeliranju in simulaciji elektro-termičnih lastnosti debeloplastne strukture. Prikazane so simulacije debeloplastnega upora, ki so omogočile izračun upornosti poljubno oblikovanih uporov in analizo vpliva laserskega reza na njihove električne in termične lastnosti. Analiza segrevanja debeloplastnega upora v odvisnosti od njegovih dimenzij, oblike in pozicije na močnostnem debeloplastnem vezju je omogočila optimizacijo dizajna vezja. Simulacije prehodnih termičnih razmer so omogočile vpogled v temperaturno porazdelitev pri kratkotrajnih močnostnih obremenitvah in nakazale možnosti za izboljšanje dizajna hibridnega debeloplastnega linijskega zaščitnega modula. Obravnavani primeri kažejo kako lahko numerične simulacije pomagajo načrtovalcu poiskati optimalno rešitev. 1. Introduction Thanks to the exponential increase in computational power in recent years and the continuous refinement of design-analysis tools, the numerical modelling and simulation of physical entities has become a more and more commonly used technique in electronic system design. Such an approach gives us the opportunity to study a circuit design prior to committing to layout and building the real prototypes. Designers are increasingly relying on simulations, especially in the design of micro-electro-mechanl-cal systems (MEMSs), which results In a need for multi-physics, e.g. structural, electrical and thermal coupled-field analysis. The advantage of the virtual prototyping can be used in the design of the hybrid thick-film circuits, too. The simulations of the heat-transfer mechanisms, which allow the designer to predict the temperature distribution and the flow field in and around the circuit, are helpful In designing reliable devices /1-7/. Consideration of the thermal phenomena is especially important in the design of power hybrids. Under real operating conditions the hybrid thick-film circuit as well as the individual (active or passive) electronic components belonging to it are subjected to a variety of loading condi- tions that either directly or indirectly influence their operating temperatures. The inappropriate placement of components may cause undesirable peaks in the temperature distribution that can influence the circuit's performance, reduce its reliability and shorten its lifetime. Simulations can help to reveal the critical points in the design and find the best design solution. In this paper we first sketch out the basic principles of modelling electro-thermal properties. Next, examples showing how simulations in the design phase of hybrid thick-film circuits have helped to achieve good thermal management of the product are presented. Finally, we provide a summary and draw conclusions. 2. Modelling electro-thermal properties A mathematical model of a certain physical situation is a system of differential equations that are derived by applying the fundamental laws and principles of nature to the treated system with a set of corresponding boundary and initial conditions. 14 M. Santo Zarnik: The Application of Finite-element Analysis in The Design of Thick-film Hybrid Circuits Informacije MIDEM 32(2002)1, str. 14-21 2.1 Electrical conduction in thick-film resistors When a voltage is applied across different parts of an electrically conducting object (e.g. a thick-film resistor) an electric current field Is created within its volume. The electric current density J is simply related to the field strength E by the relation: J = y • E = -y - VU (1) where y is electrical conductivity. Conservation of charge requires that: V-/ + dq Ht (2) where q is the charge density. This equation simply means that the current diverging from a volume element Is equal to the rate of decrease of its charge. If we deal with static phenomena the time derivative is zero and by combining equations (1) and (2) we obtain: V-(-yVU)= 0 (3) Having calculated the potential distribution we obtain the current density everywhere inside the treated structure by taking the gradient. As shown below, these equations can be used for the calculation of the resistance of an arbitrarily shaped thick-film resistor and to analyse different shapes of laser trim cuts. 2.1 Modelling heat-transfer mechanisms In general, there are three heat-transfer mechanisms that describe the heat-flow field In and around a given solid structure: conduction, convection and radiation. The phenomenon of thermal conduction involves the transfer of energy in the form of heat in a non-uniformly heated solid body. The differential equation governing the heat conduction in a homogenous body is: y(rÀ.VT)+dl.p.Cp ■Iv (4) where X is the thermal conductivity, T Is the temperature, p is the material density, cP is the specific heat, and qv is the amount of heat evolved by internal sources in the body per unit volume. In a wide range of problems, the transient phenomenon of the heat conduction in the thick-film structure can be neglected because of the "thermal inertia" of the whole system. Although such a limitation has an influence on the simulation results because higher temperatures can be obtained during the transient, the steady-state thermal conditions are considered in many cases. The steady temperature distribution in an object means that the heat leaving any volume element equals the quantity produced. Since 3T/dt = 0 in the mathematical statement (1), the heat-trans-fer equation can be written as: V(-A-VT)-qv = 0 (5) Convection is the transfer of heat from bounding surfaces to a fluid, and defines the heat-exchange conditions at the boundary of the solid body. A mathematical formulation of the heat flow Hd dissipated across the surface A of the body into an environment of ambient temperature Ta is given by: H, ■ a ■A-(T-Ta) (6) Depending upon the cause of the fluid motion a distinction is made between free, or natural, convection and forced convection. In either case, the convection can be expressed using a heat-transfer coefficient a, the quantity that characterises the intensity of the heat transfer and depends upon the method of cooling. The natural convection heat-transfer coefficient is usually obtained using empirically derived relationships, which have been found to work well in practice. For forced convection, a can be es- timated as cc = V2v / L . where vis the air velocity and L is the length of the body. The thermal radiation is governed by the equation: Et =a-e-A([4 -Tfl4) (7) where Et is the heat flow radiated through the surface A, a is the Stefan-Boltzman constant, Ta is the ambient temperature and 8 is the surface emissivity. In a finite-element model the mathematical formulations of the heat transferred from the structure's outer surfaces by convection and radiation are commonly used to specify heat-exchange boundary conditions. The mathematical notation is: • ÀVT ■ n = a - {i - Ta )+ cr • e ■ (r4 - Tfl4 ) (8) where — AVT ¡s the outward heat flux from the boundary and n denotes the outward surface normal unit. 2.3 Modelling simultaneous electric and thermal conduction Generally, electronic circuits are heated by the power dissipated in the components. The heating caused by an electric current flowing through a thick-film resistor depends not only on the total power dissipated in the component but also on its geometry. In cases when non-conventional shapes of thick-film resistors are used the heating becomes non-uniform and both the conduction of charge and the conduction of heat should be considered simultaneously. A similar situation occurs in the cases when the resistor is 15 Informacije MIDEM 32(2002)1, str. 14-21 M. Santo Zarnik: The Application of Finite-element Analysis in The Design of Thick-film Hybrid Circuits trimmed, because the trim cut causes a non-uniform distribution of the current density in the region of the resistor. In order to calculate both the potential and the temperature distribution for the steady-state conditions we combine equations (3) and (5). By taking qv = JxE=(-yVU)-(-VU) for the heating power source term, the following system of simultaneous equations needs to be resolved: V(-yVi/)=0 v(-A vr)-r(vf/)2 o (9) In general, both the thermal conductivity X and the electrical conductivity a depend on the temperature. By introducing the temperature dependency of the resistivity p = 1 / y in the form: p = pQ ■ Il + a ■ T + b-T2), where po is the resistivity at 0°C and a and b are the temperature coefficients of the resistivity of the resistor layer, a variety of thick-film materials can be described. Negative thermistor thick-film materials exhibit response curves similar to the discrete NTC thermistors so that the temperature characteristics can be expressed with the well-known expression: PT = Pis 1H (10) where pi is the resistivity at temperature T, pn is the resistivity at temperature Tn. T and Tn are temperatures in Kelvin and (3 is a material-specific parameter. 3. FEA-driven designs of the thick-film structures 3.1 Analysis of a thick-film resistor The thick-film resistor is an elementary component of a thick-film circuit. It is made by screen-printing the thick-film resistor material and the metallic end-contact material, which provide electrical connections onto a ceramic substrate. Figure (1) shows the design of a typical thick-film resistor. Ceramic substrate Figure 1. Typical geometry of a thick-film resistor, the top-view and the cross-section The actual value of the resistance is determined from the resistivity of the resistor material at the recommended deposition thickness, which Is usually quoted in ohms per square (sheet resistivity) and the designed aspect ratio L/ W. The relation is given by the following equation: R = p- L W-t = R sh L W (11) where p is the resistivity of the material, L is the resistor length, W is the resistor width, t is the thickness and RSh is the sheet resistivity. Commercially available thick-film resistor materials have sheet resistivities in decade values from 1£2 per square to 10 Mi2 per square for typical layer thicknesses, which are in the range 5|am to 20|am. From relation (11) we can see that designers have a degree of flexibility when laying out a particular circuit design. In practice, deviations from the designed values are regularly adjusted by laser trimming. This changes the resistor geometry and introduces new critical points in the resistor design. In some applications the influence of the trimming cut on the resistors' performance should be analysed. This becomes more important with high-power applications, where the shape of the laser cut influences the temperature distribution in the resistor; and in sensor applications, where the resistance of the thick-film resistors depends on the resistor position and any local strains. Figure 2 presents the simulated current density for thick-film resistors of a typical shape, which exhibit bending of the current caused by a laser cut in the bottom resistor. 30.0 21.0 24.0 21.0 13.0 15.0 12.0 9.00 6.00 3.00 m Figure 2. A 2D presentation of the current-density vector showing the bending of the current flow lines caused by a laser cut The changes to the current density caused by the laser cut affect the temperature distribution in the resistor. For this reason the design of power hybrids often requires an analysis of the laser-cut shape on the power resistor. The self-heating effect of the thick-film resistor can be modelled using the system of equations (9). Figure 3 shows the steady-state temperature distribution in the trimmed resistor from Figure 2 in the case where a voltage of 50V was applied to its ends and the natural air convection (a = 10) on the outer boundaries was modelled by (8). Normally, the maximum temperature is expected in the middle of the resistor. Depending on the laser-cut position, the hottest point in the resistor can be moved towards the regions of higher current density. 16 M. Santo Zarnik: The Application of Finite-element Analysis in The Design of Thick-film Hybrid Circuits Informacije MIDEM 32(2002)1, str. 14-21 41.94 41.25 Figure 3. Temperature distribution in the self-heated thick-film resistor / Current density on surface Z=dr 6.50 6.00 5.50 5.00 4.50 4.00 3.50 3.00 2.50 2.00 1.50 1.00 lit oloo 1 0 -0 5 0.0 0.5 1.0 1 5 2 0 2.5 Sometimes, depending on the application, an unconventional thick-film resistor geometry (shape) is designed. In such cases the relation (11) is no longer valid. Generally, the resistance is a global quantity depending on the solution of a simple boundary-value problem using Laplace's equation (3). For more complicated boundaries exact solutions are not available and some special non-trivial mathematical approaches /8/ have to be used. In any event, the solution can be evaluated numerically using the finite-element approach. In this way the resistance of a thick-film resistor can be computed by evaluating the current through the resistor, as a surface integral of the normal component of the current density J over the surface of the cross-sec-tion of the resistor. The relation R = AU/I, where AU is the potential difference at its end-contact boundaries, can then be used. For example, the numerically obtained resistance of the untrimmed resistor from Figure 2, for RSh =100 Q,/ square, is 450Q. and the resistance of the trimmed resistor is 628£1 In this simple case the resistance of the untrimmed resistor can be verified using formula (11). An example of an analysis of an unconventional thick-film resistor is presented in Figure 4. The figure shows the current-density distribution in the specially shaped 'delta' resistor, which was designed for a ceramic pressure-sensor application. The resistor regions where the current density is higher exert more of an influence on the total resistance. The calculated value of the resistance is 2.375*RSh. Magnitude (J) on surface Z=dr 8.50 8.00 7.50 7.00 6.50 6.00 5.50 5.00 4.50 4.00 3.50 3.00 2.50 2.00 1.50 1.00 0.50 0.00 Figure 4. The current density in an unconventional thick-film resistor 3.2 Optimisation of a hybrid power-module design In order to provide reliable operation of hybrid thick-film power modules it is important to maintain the operating temperature of each component and the whole circuit, within the allowed limits. Although the power circuits are usually mounted on appropriate heat sinks to enable effective cooling, extra precautions have to be taken when designing the circuit layout. In such cases a simulation of the electro-thermal behaviour has proved useful. In the following we describe how a finite-element analysis was used in the design-optimisation phase of a hybrid power module that is part of an electricity meter. The main purpose of the simulations was to help the designer to redraw the circuit layout by reducing the thick-film resistor area in such a way that Its resistance and maximum allowed temperature of the substrate remain unchanged. This allowed for a reduction of the module prime costs. The treated hybrid thick-film circuit is installed in a plastic (crastine) housing as shown In Figure 5. Figure 6 shows a schematic representation of the module's cross-section. ■raMililflHii fsm«m> "W'i iT 10 0 X Figure 5. View of the treated power-hybrid thick-film circuit installed in the crastine housing 17 Informacije MIDEM 32(2002)1, str. 14-21 M. Santo Zarnik: The Application of Finite-element Analysis in The Design of Thick-film Hybrid Circuits SMD component thick film resistor ¡C Alumina substrate Plastic casing Figure 6. Schematic representation of the module's cross-section Figure 7. Simplified 3D geometry of the structure and its projection onto the X-Y plane The whole construction was mounted on the rear of the electricity-meter housing, which was at ambient temperature. The circuit was heated by an electric current flowing through the thick-film resistor. The heat rise as a result of the SMD component power dissipation had a relatively small Influence on the temperature profile of the whole module and was not Included in the model. Since there was no additional heat sink the circuit was only cooled through the metal connections to the main part of the device and only natural air convection had to be treated on the structure boundaries. The plastic housing was uncovered on two sides allowing the influence of its upper part to be neglected. The simplified structure geometry is presented in Figure 7. Steady-state thermal conditions in the structure were modelled with equation (5), and the heat-transfer boundary conditions formulated using (6). Although simplifications to the circuit geometry and boundary conditions were made, the achieved accuracy of the model was less than 5%. A detailed description of the finite-element model and its experimental verification is presented in /9/. In order to meet the required electrical and thermal performance, various circuit layouts were considered. Our simulations indicated that a reduction in the size of the thick-film resistor by 50% would increase the maximum temperature of the whole circuit by 10%. However, by shifting the resistor nearer to the metal pads a lower value forthe maximum temperature was achieved. A simulated temperature distribution on the top of the substrate for different layout options is presented in Figure 8. Simulations of the optimised circuit layout showed that a maximum temperature below 68°C could be achieved. Realisation in practice confirmed the validity of the simulated results. Figure 8. Steady-state temperature distribution on the surface of the thick-film substrate for four different layouts (ambient temperature Tamb= 40°C) 18 M. Santo Zarnik: The Application of Finite-element Analysis in The Design of Thick-film Hybrid Circuits Informacije MIDEM 32(2002)1, str. 14-21 3.3. Transient electro-thermal analysis of an over-current-protection module An over-current-protection thick-film hybrid module containing SMD PTC thermistors as self-resetting overload-protec-tion elements was designed for protecting a communication line against a surge current resulting from lighting or accidental shorts between adjacent power feed lines. Figure 9 shows the over-current-protection module for the symmetric protection of telecommunication systems containing two symmetric circuits for protection. Each circuit includes thick-film resistors in series with a PTC thermistor between the input node, which is coupled to the communication line, and the output node, which is linked to the target equipment being protected. When the over-current enters such a protection module the thermistors self-heat and rapidly increase their resistance, providing protection for the associ- Figure 9. The over-current-protection module for the symmetric protection of telecommunication systems containing two symmetric circuits for protection ated equipment by suppressing the surge current. In the presented circuit the thermistors are also heated externally by the heat dissipating from the thick-film resistors, which are connected through the external circuit connections and located on the rear of the substrate. The schematic representations of the circuit's front and rear layouts, and the cross-section are given in Figure 10. The location of the heat sources and their sizes as well as the thermal properties of the substrate and other materials used, play a fundamental role In determining the temperature distribution in a hybrid thick-film circuit and thereby affect the circuit's response characteristics. A transient finite-element thermal analysis was performed to predict the dynamic temperature states at the critical points of the circuit design and to find the hybrid circuit arrangement that provides the most reliable circuit operation. In order to keep the model size manageable, while maintaining sufficient element density in the regions of interest, some assumptions and simplifications relating to the circuit geometry were performed. The resistors dissipating a negligible amount of heat in comparison with the heat dissipated in the thick-film resistor on the rear were excluded from the model. The influence of the thick-film resistor over-glaze on the temperature distribution within the whole module is estimated to be quite small and therefore omitted. The fraction of the heat transferred by conduction through the connection wires during the transient (for t < 15 sec) has a small influence on the temperature distribution in the region of the PTC thermistor and the thick-film resistor on the rear of the substrate and it can be neglected. Applying half-symmetry boundary conditions for the heat exchange between the two symmetrical parts of the module additionally reduced the model size. The simplified 3D geometry of the model is presented in Figure 11. Figure 10. The front and rear layouts and the cross-section of the over-current-protection circuit Figure 11. View of the meshed 3D model of the module The transient thermal situation was modelled using the heat-transfer equation (4), where the internal heating term qv represents the heat per unit volume generated in the regions of the resistive elements. Therefore, qv can be determined as the mean power dissipated in the PTC thermistor and the thick-film resistor regions, it can be calculated in the same way as in the previous example, e.g. by calculating the potential distribution and the current density in the circuit structure and by solving the system of equations (5). In order to 19 Informacije MIDEM 32(2002)1, str. 14-21 M. Santo Zarnik: The Application of Finite-element Analysis in The Design of Thick-film Hybrid Circuits reduce the number of required numerical calculations and to shorten the simulation time the term qv was defined by a plecewise linear approximation of the power dissipated in the regions of the thick-film resistor and the hermistor as function of the instantaneous temperature of the thermistor. The heat-exchange boundary conditions on the circuit's outer surfaces were specified by (8). The objective of the analysis was to track the temperature distribution in the PTC thermistor and the thick-film resistor on the rear of the ceramic substrate during the period in which the over-current flows through the circuit. Figure 12 shows the temperature distribution in the cross-section of the module after 10 sec. 310. MO. 280. 280. 270. 260. 250. 240: 230. 220. 210. 200. 190. 180. 170. 160. 160. 140: 130. 120. 110. 100. 90.0 Figure 12. Temperature distribution in the cross-section of the structure after 10 sec Because the temperature distribution within the body of the thermistor depends on the distance from the component's metal connections the temperature in the geometric middle of the component was regarded as the actual Instantaneous temperature of the whole thermistor element. Based on this assumption it is evident from Figure 12 that the instantaneous temperature of the thermistor element reaches its specified reference temperature of 120°C after 10 sec. This result corresponded to the measured switching time of the module /10/, which confirmed the correctness of the model. At the same time the temperature on the surface of the thick-film resistor increased to Its maximum value of 330°C. The temperature distribution on the rear of the module after 10 sec is presented in Figure 13. After the thermistor has ^MmmlmmïmiïmmwM r \ v \V5- 330. Br- 205. as, H- 200. ¡¡¡t 320. |(|f- 195. 315. *§- 190. 310. iii- 185. Kiii-i— 305. {K- 180. 300. sm- 175. — 285. 280, 265. Z 275! 270. 265. 260. 255. 250. — 245. 240. 235. — 230. 225. 220. 215. ; J— 210. 205. limited the current flow through the circuit the temperature of the resistor on the rear starts to fall. Simultaneously, the effect of the cooling through the connection pads becomes more evident. The temperature situation on the rear side after 20 sec is presented in Figure 14. Temperature on the resistor on surface ll'^l 214.0 213.0 212,0 211.0 210.0 2Q9.0 208.0 207.0 206.0 205.0 204,0 203.0 202.0 201.0 200.0 «9.0 188.0 187.0 186.0 195.0 194.0 183.0 182.0 181:0 180.0 188.0 Figure 14. Temperature distribution on the rear of the substrate after 20 sec The described model was used to explore the changes in the switching time depending on the thick-film resistor area and the amount of adhesive used for bonding the thermistor to the substrate. Minimising the thick-film-resistor area lead to higher local temperatures in the circuit, which may influence the component to change its resistance or even burn up the resistor. To avoid such undesirable situations different design options were analysed. The simulations helped us find the optimum resistor dimensions and position. Additionally, a FEA was performed for the case study concerning situations in which the adhesive covers different expanses of the thermistors' surface. Simulations showed that the amount of adhesive significantly influenced the maximum temperature and the switching time of the module. Simulated temperature distributions in the thermistor and the thick-film resistor for the cases when 50%, 70%, and 100% of the thermistors' surface was covered by adhesive are presented in Figures 15 and 16. o 2 120.0 ............................................ C / : / / / a - 50% b- 70% / c -100% 0.0 3.0 60 9.0 120 15.0 18.0 21.0 Time (sec) Figure 13. Temperature distribution on the rear of the substrate after 10 sec Figure 15. Dynamic temperature states in the geometric middle of the PTC thermistor 20 M. Santo Zarnik: The Application of Finite-element Analysis in The Design of Thick-film Hybrid Circuits Informacije MIDEM 32(2002)1, str. 14-21 283.0 3CO.O P 250.0-2 3 233,0-ra fe CL £ ieo.o fi 100.0 50.0 .0 Figure 16, Dynamic temperature states in the thick-film resistor on the rear of the circuit Figure 15 shows the temperature tracks in the middle of the PTC thermistor during a period of 20 sec. A temperature of 120°C, which corresponds to the thermistor reference temperature, determines the switching time of the module. It is evident from the curves in Figure 15 that the changes in the switching time are less than 3 sec. The temperature tracks presented in Figure 16 indicate that a lower maximum temperature in the thick-film resistor can be achieved for a more extensive coverage of the thermistor area by the adhesive. Simulations showed that at least 70% of the thermistor surface has to be covered to keep the maximum temperature in the acceptable range. The temperature on the surface of the thermistor and the thick-film resistor was measured with an infrared temperature probe. Such a low-cost method for measuring temperature was based on our experience of earlier, similar applications /11/, and proved to be satisfactory in practice. Furthermore, probe measurements at different locations in combination with software tools for temperature monitoring (like, for example /12/) can be used for the verification of the numerical model. 4. Summary This paper describes our experience of applying finite-element analyses in the design of thick-film hybrids. Three different case studies that give some insight into the electrical and thermal situations in the thick-film structure were discussed. Simulations were performed to analyse the electrical and thermal behaviour of unconventionally shaped thick-film resistors and to calculate their resistances. FEA of the electro-thermal properties of a thick-film hybrid power module was performed and the optimum circuit layout was determined. Furthermore, a transient electro-thermal analysis was used for the design optimisation of an over-current-protection circuit. We can conclude that a finite-ele-ment code can be successfully introduced to the design cycles to reveal critical points in the design and help to find the optimum design solution. Acknowledgement I wish to thank HIPOT-HYB for providing samples of the hybrid circuits and for permission to publish some design details. The technical assistance given by Srečko Maček (Jožef Stefan Institute, Ljubljana) is gratefully acknowledged. The work was financially support by the Ministry of Education, Science and Sport of the Republic of Slovenia (Grant No L2-2447). REFERENCES /[/ A.Langari, H.Hashemi, „Transient Thermal Analysis of a Power Amplifier Module", Proceedings of International Symposium on Microelectronics, 1998, pp.844-849. /2/ L.A. Barcia, S.O.Velasco, C.Q.Guia, „Finite Element Analysis in the Design of a Power Converter', 9th. European Hybrid Microelectronics Conference, Nice 1993, pp.421-430. /3/ Johannes Adam, "Don't waste time: simulate!", Proceedings of European Microelectronics and Packaging Conference 2001, pp. 844-849. /4/ Jarosiav Kita, Andrzej Dziedzic, Kazimirez Fredel, LeszekJ. Golonka, Pawel Janus, Roman Szeloch, "Temperature Distribution Analysis in Sensors with Buried Heater Made in LTCC Technology", Proceedings of European Microelectronics and Packaging Conference 2001, pp. 123-128. /5/ T.Zawada, A. Dziedzic, L.J.Golonka, G.Hanreich, J.Nicolics, "Temperature field analysis in a low temperature coflred ceramic microsystem", Proc. IMAPS-Europe 2000, pp. 388-393. /6/ Mark J. Vesilgaj, Cristina H. Amon, "Transient Thermal Management of Temperature Fluctuations During Time Varying Workloads on Portable Electronics", IEEE Transaction on Computers and Packaging Technology, Vol. 22, No 4, 1999. /7/ Ryszard J. Priputniewicz, Steven A. Weller, Sam R.Shaw, William L. Herb, "Computational modeling and simulation of thermal effects in a new design of a small form-factor pluggable (SFP) system", Proc. International symposium on Microelectronics, Boston, 2000, pp. 381-386. /8/ L. N. Trefethen, "Analysis and design of polygonal resistors by conformal mapping", Journal of applied mathematics and physios, Vol. 35, September 1984. /9/ M. Santo Zarnik, S. Maček, "Thermal modelling and optimisation of hybrid thick-film structures", Proceedings of International Conference IMAPS-Poland, 2000, pp. 269-275. /10/ M. Santo Zarnik, S. Maček, "A finite element analysis of the elec-tro-thermal characteristics of an over-current-protection thick-film hybrid module", Proceedings of International Conference MIDEM 2001, pp. 273-278. /11/ M. Santo Zarnik M., NovakF, MacekS, Design for test of crystal oscillators: A case study. Journal of electronic testing: Theory and Applications 1997 11: 109-117. /12/ Marko Lamot, Borut Žalik, "Software tool for the support of on-line thermal monitoring of microelectronic systems", Informacije MIDEM, Vol 30, No. 3, 2000, pp 144-147. /13/ Gunnar Backstrom, "Fields of Physics by Finite Element Analysis, An Introduction", Studentlitteratur, Lund 1998. Marina Santo Zarnik HI POT- FIR, d.o.o., c/o Institut "Jožef Stefan" Jamova 39, 1000 Ljubljana, Slovenia, Tel: +386 1 4773 583, Fax: +386 1 4263 126 e-mail: marina.santo@ijs.si Prispelo (Arrived): 15.1.2002 Sprejeto (Accepted): 26.2.2002 a - 50% b - 70% o-100% 0.0 3.0 6.0 9.0 120 15.0 1&0 21 Time (sec) 21 Informacije MIDEM 31(2001)4, Ljubljana UDK621,3:(53+54+621 +66), ISSN0352-9045 FAILURE PREDICTION MODEL Adolf ŽIŽEK"0, Oto TEŽAK2', Štefan ČELAN1) 1'Bistra, Bureau for Strategic Technological Development, Ptuj, Slovenia 2)University of Maribor, Faculty of Electrical Engineering and Computer Science, Slovenia Key words; technical products, failure prediction, failure models, differential equations, preventive maintenance, failure probability, functional reliability, system failure, component failure, stress-strength models, theory, calculations of examples, life-times, applicability time Abstract: Preventative maintenance is vital for delicate technical products. Electronic components or the whole system mustbechanged.andthusneedagood model that will indicate failure accurately. In this paper is presented a stochastic stress-strength quantitative model, following the five original hypotheses. Proposed new model of failure prediction could be used by the system maintenance. Failure risk could be instantaneosly by calculated. The given theory considers the influences of stress on the lifetime of electronic components, systems and products. Model napovedovanja odpovedi Ključne besede: izdelki tehniški, napovedovanje odpovedi, modeli odpovedi, enačbe diferencialne, vzdrževanje preventivno, verjetnost odpovedi, zanesljivost delovanja, odpoved sistema, odpoved komponent, modeli obremenitev-odpornost, teorija, izračuni primerov, dobe trajanja, dobe uporabnosti Izvleček: Preventivno vzdrževanje zahtevnih tehničnih izdelkov je zelo pomembno. Za kvalitetno vzdrževanje potrebujemo model za napovedovanje odpovedi. Zamenjati moremo posamezne elektronske komponente ali celoten sistem, zato je pomembno imeti tak model, ki bo dovolj dobro predstavil odpovedovanje komponent ali celotnega sistema. V članku predstavimo stohastični kvantitativni model odpornosti glede na obremenitev. Izhajamo iz petih originalnih hipotez. Predstavljena teorija je temelj za preučevanje vpliva obremenitev na življensko dobo tehničnih proizvodov. 1. Introduction Technical products can be divided into two categories: elementary and composite products. Elementary products cannot be decomposed without destroying them. These products are for example electrical resistors, capacitors, semiconductors and chips, etc. Composite technical products are put together from elementary ones or from previously made component parts. These are electronic boards, electrical nets, computers, robots, etc. The breakdown of technical products has unwelcome effects like accidents and costs. This is reason for detailed research on how a breakdown arises and how it can be announced and prevented. An elementary technical product is not usable for its original purpose after its breakdown. A composite technical product fails when some of its components fail. If the whole product was not destroyed at the breakdown point, all of its failed components can be changed, making the product usable again. The period from the beginning of using the product to its failure will be called the durability of the product. Durability depends on the characteristics of the product and on the way we use and maintain the product. The structure of the matter is random, and manufacturing of the products is partially random; therefore, the durability of equivalent products is different. Durability, therefore, is a random quantity. It is well known that the durability of a technical product is less under greater stress. Durability, therefore, depends on all the stresses on the product during its use and its properties. The product property that influences the product durability is called the strength of the product. Each physical quantity that directly or indirectly reduces the durability of the product will be called the stress of the product. Stress is made up of electric voltage, electric current, power, electric field, force, lever, pressure, temperature, air moisture, etc. It is well known in electrostatics that an insulator is not cut-through until its electric field (stress) exceeds its cutting-through strength. If we generalize this knowledge, we can say that any technical product resists any physical stress with a level of strength that is of a physical quantity of the same sort. 2. Main hypotheses Failure incident, stress influence on product durability and other matters that are connected with a breakdown of technical products can be quantitatively explained by five hypotheses, 1. The breakdown hypothesis: A technical product fails in the moment when its stress reaches or exceeds its strength. 22 A. Žižek, O. Težak, Š. Čelan: Failure Prediction Model Informacije MIDEM 32(2002)1, str. 22-32 STRENGHT BREAK -DOWN // STRESS 1/ DURABILITY TIME . Figure 1. Technical product breakdown depends on its stress and strength. The circumstances of the first hypothesis are shown in figure 1. A technical product is in reality exposed simulta-neouslyto many stresses. In the beginning, only one stress will be taken into account. It can be seen that the product breaks down in the moment when its strength is equaled by the stress; again, durability is the time period from the beginning of the use of the product to its failure. The second hypothesis is set up according to the measurements found in certain literature (e.g. /4/). 2. Hypothesis about monotone decrease in strength: The strength of a technical product is a monotone decreasing time function. Figure 2. Strength process and technical product breakdown at a constant stress level. The hypothesis is illustrated in figure 2. Many measurements show that durability is a random quality that coincides in figure 2. Conditions for the next hypothesis are well known from daily experiences: 3. Hypothesis about stress influence: The speed at which the strength of a technical product decreases is proportional to the stress exerted on it. If the product is exposed simultaneously to different stresses, then the decrease in strength is proportional to all stresses. The next hypothesis results from storerooms: 4. Hypothesis about strength preservation: A technical product preserves its strength when it is not under stress. The final hypothesis is: 5. Hypothesis about strength derivabilitv: The strength of a technical product is a continuous and derivable time function. This hypothesis is very difficult to verify. For each technical product satisfying this condition, we can put down differential equations. The truth of the hypothesis can be proved indirectly if we derive a differential equation or a system of differential equations for a technical product, as is shown in this paper. 3. Quantity interpretation of the phenomena Let the time process of stress on a technical product be denoted by Y and the value at a particular moment be Y(t) = y. Let X be the time process of a technical product strength and X(t) = x its value at a specific moment. The stress and the strength of a technical product are physical quantities, determined by their quantity. Thus, we have a power stress and a power strength, a temperature stress and a temperature strength, etc. If we want to emphasize the quantity, we add the quantity as an index. Thus YP means power stress, XT temperature strength, etc. Physical units for stress and strength are equal to the basic unit. The unit for temperature stress and strength is Kelvin; the unit for power stress and strength is Watt, etc. Strength reduction by time unit-¡\X / A t's called strength declination and we will denote it with D. Thus, we denote declination of power strength as DP = -AXP / A t. The physical unit for power strength declination is Watt per second (W/s) and for temperature strength declination, Kelvin per second (K/s). In the following section, we will mention only stresses Y, which are integral real functions in the time interval [0, , and strengths X, which are derivable real functions in the same Interval, except in a finite number of points. If in the moment t the strength is a derivative function of the time, then we define the strength declination in the moment t as D(t) = -dX(t)/dt. 23 Informacije MIDEM 32(2002)1, str. 22-32 A. Žižek, O. Težak, Š. Čelan: Failure Prediction Model Let D (t,x,y) be the non-negative real random function that is defined for all t in the time Interval [0, oo) and for which holds true: 1 ) D (t,x,0) = 0 2]D(t,x,y2))D(t,x,yi), if|.y2|>W From the hypotheses 2, 3 and 5, we determine that for each t G [0,<=°), the strength derivative is almost surely defined as a non-positive quantity; its absolute value is greater when the strength is greater. In the period when the stress equals zero, the derivative of the strength equals zero as well, because of hypothesis 4. The function -D (t,x,y) corresponds to hypotheses 2 to 5, therefore, we can express the differential equation by ^- = -D(t,x,y) ;X(0 ) = a (1) d t Equations of this type are called stochastic differential equations whose solution is a stochastic process. Though many theories exist for solving such equations /2/, the examples show how very simple cases of random function D(t,x, y) are taken into consideration. For example, equation (1) can be solved by using the ordinary differential equation theory. The function D that is defined in the space [0, °°)x R2 will be called the strength declination process. D and a can be dependent or Independent. Exactly one strength declination process D belongs to each product that satisfies a hypothesis. If the initial value of the strength X(f) = a and the strength declination process D is known as a technical product, then we can recognize its stochastic strength as any instance of stress. The basic task connected with the determination of product durability is, therefore, looking for a and D with the aid of observations and experiments. After this has been done, all actions are formally mathematical. Sometimes the strength declination process can be developed as the following potential series D(t,x,y) = f0(t,y) + fl(t,y)x + f2(t,y)x2+...(2) If for each ¡>2, the random coefficients fi(t,y) equal zero, then the corresponding differential equation is linear. If (2) contains only the first summand, then we have a simple differential equation ^ = -D(t,Y(t)) ; X(0) - a (3) at with the solution X(t) = a-\D(t,Y(t))dt (4) o If the strength declination process does not depend on time, we have a homogenous differential equation: ^P- = -D(x,y) ; X(0) = a {5) at The simplest homogenous linear differential equation is, therefore, ¿M = -D(Y(t)) ; X(0) - a {6) and the solution t X(t) - a - J D(F(0) di (7) o We derive the solutions to general (stochastic) differential equations (1) as is described in references /2,5/. We can say that a technical product will not break down as long as Y(t)(X(t) , but It will break down at the moment when this is no longer the case. Thus, if we know the time processes of the stress and strength of the product, we derive its durability T by using 7(0 > X(t) (8) so that the random durability lis the minimal solution to this inequality created by time. X(t) is a random variable for each f, although Y{t) is a determinable quantity. We can determine well-known quantities from the random durability: Cdf {?} = Pr{T < t) (9) Sf{t} = Pr{7 > t) (10) 1(t,x1,x2,...,xn,y1,y2,...,y„) (17) with the initial conditions X,(0 ) = a„ X2(0) - a2,...., Xn=an We can use the vector expressions X = (Xl,X2,...,Xn), x = >X2'••• ^ — (YX,Y2 ,...,Yn), y = (yl,y2,...,y„), D = (D„D2,...,D„), a — (ax, a2,..., a ) and express the system of stochastic differential equations (17) in short as dX(t) dt = -D(t,x,y) ; X(0) = a (18) Consequently, in accordance to the hypothesis 3, for each strength declination: and AiM,,^,-.,^, 0,0,...,0) = 0 (19) Di(t,xl,x2,...,xn,yl,...,y],...,yn)} Di(t,xvx2,...,xn,yl,...,yj,...,yn) when VjWA (20) All the characteristics of the equation (1) are valid for the system of differential equations as well; it can be linear or homogenous. If we find its solution, we simultaneously get all strength processes X = (Xx ,X2 ,...,X ). Thus, in using the inequality (21) for each/'from 1 ton, we get a potential random durability of the product as a minimal time solution to the inequality (21). A technical product can fail because of any of the given stresses. Therefore, its durability equals r = min{7;,r2,...,7;i} (22) It Is possible with the known a and D in general situation, as well as with only stress, to determine the vector strength X for the given vector stress Vwith the help of (23). After that, we can determine the durability of the product from equations (21) and (22). Finally, we can calculate the probability (9), (10), (11). 4. Strength measurements The measurement of voltage strength is well known. A capacitor is exposed to stress from rising voltage until it is destroyed. Meanwhile, we measure the stress of the voltage. The voltage that has been present at the capacitor breakdown Is its voltage strength. 25 Informacije MIDEM 32(2002)1, str. 22-32 A. Žižek, O. Težak, Š. Čelan: Failure Prediction Model The essence of the described measurement is the following: we increase the technical product stress and measure it at the moment when the stress becomes equal to the strength and the product falls. So, we are measuring the strength indirectly in accordance to hypothesis 1. We get instant values of the strength from the measurements being described. The strength of the product incurring stress decreases in time. If a capacitor has been exposed to wetness and temperature changes for many years, then the measurement of the old capacitor will show a lesser voltage strength than the new one. Similar results can be expected for other technical products. We can measure the instant strength value of an old technical product in the following way: we expose the product at the moment t to already known stresses. Then at the instant t we measure the strength of the product by increasing the stress until the product fails. Let us analyze the aforementioned measurement using the theory from the last paragraph. Let M be a known process of stress being measured that is increased until the product fails. Let M be a strictly monotonously increasing continuous function that is defined for all non-negative t and let the function be derivable any number of times at t = 0. It can then be developed into the MacLau-ren's series, which is ^ „„m A/(0) , A/» „ M(t) = M( 0)+——t+———r+.. 1! 2! nl (23) Because of the strict monotony of the function M, M (t))0 for each f > 0, and therefore, M (0))0- We cause stress on the product as is shown in figure 3 to establish the instant strength X(t). At the chosen measurement in the instance t, the product is under the known stress Y0, so that the product almost certainly does not fail. We then put stress on the product from moment t until its failure at the measurement stress M. The total process of stress therefore is: 7(H) = • Y0(u), if M(u-t), It is also valid that M(0)(X(t) if u(t u>t (24) Strength and stress processes at strength measurement. According to hypothesis 5, the strength process X is a continuous function. Therefore, it is true for each f that lim X(t+i) = X(t) (25) The function X is monotonously decreasing, while the function M is strictly monotonously increasing; therefore, from (8) and figure 3 we get Y(t +t) = X(t + z) From (26) we get Y(t + ?)= M(t) (24), (25) and (26) give us X(t) = \\mM(t) (26) (27) (28) The equation (28) means that M(i) nearly equals X(f), when the time needed to break down is short enough. In this case, in the series (23), only the first two articles of the sum can be taken into account M( i) = M(0) + M (0) From (28) and (29), we get X{t)= M(0) + M\0)t Thus the random time needed to break down % as _X(t)-M(0) Ai (0) (29) (30) (31) The random value t is sufficiently small in two cases: if M{0) is only a little bit smaller than X(f), or if M'{0) is big enough, 26 A. Žižek, O. Težak, Š. Čelan: Failure Prediction Model Informacije MIDEM 32(2002)1, str. 22-32 the measured stress increases enough. The time needed to break down the product must be small enough so that in this time interval the stress does not essentially influence the reduction of the product strength. According to hypothesis 4, we can unload the product at any interval d after inflicting stress with Y0. We can then inflict stress on the product just after d, as figure 4 shows. Figure 4. Stress and strength processes at strength measurements with a pause during the stress process. The instant strength value X(f) measurement arises from such statistical methods as the following: we randomly select enough good sample products of the same kind. Then we apply stress to all sample products at the same stress Y0, from the beginning point to the measurement instance t. Then for each of the sample products, we measure the strength X(f), as it was described by the equal measurement stress M. Thus, the time needed for failure is , ,..., z for each sample product, and corresponding strengths are M(7,), M(l2),...,M(lm). Now, by using well known statistical methods and (28), (29) and (30), we get the probability distribution of the strength X(f) in the instance t. The necessary condition for validity of the measurement is that until the instance f, a negligible quantity of sample products fail due to the stress YQ. This can be reached either by enough small amounts of stress Y0 or by using enough short increments of time t. The measurements of the whole strength process are like the following: the sample set S of all products of the same sort must be separated into subsets S, , and from the subset St we measure X(t). We choose t, can be a differential equation or some other operator. Let V be a value space and let the function F be given so that exactly one value v = F(X,Y) belongs to each pair (X,Y), that equals V = .F(<3>(7),7). In the case that we can choose the stress on the technical product by ourselves, It is significant to choose the stress process Y* so that the belonging value v* = F(0(7*),7*) Is optimal. The value i/*can be the best durability of the technical product, the smallest cost of maintenance, the greatest profit, etc. Example 2. When an electric motor Is under a power stress Yp (t), we know its strength declination process is D(y) and the initial power strength Xp (0) = a. Let the useful power of the electric motor Pk (t)be linearly proportional to its power stress. Pk(t)=r]YP(t) (39) 28 A. Žižek, O. Težak, Š. Čelan: Failure Prediction Model Informacije MIDEM 32(2002)1, str. 22-32 We are looking for the process Y of power pumping from the electrical network that would enable the most useful work of the electric motor until its failure. The useful work at the moment T is an integral of the useful power i 4= J KO d/ (40) It is valid because of (39) A„ v\YP(t) cIt o (41) The solution of the differential equation (6) and the expression (7) means that the process of power strength is i XP{t) = a-\D(Yp{t)) d t (42) If the power stress process Is continuous, then we get random durability as a solution of the equation X p(t) = Yp{t) in time. From (42) and (41), we get the system of integral equations Ak=v\yP(t) & 1 YP(T) = a-j D(YP(t)) d t (43) which have three unknown values Ak, T, Yp. To solve this problem we add Now we calculate the greatest value of Ak by looking for the extreme, thus, by solving the equation dA k _ 0 for yp. Because D(0) = 0 is valid we get, when yp goes to zero, the undetermined expression 0/0. Let it be valid that lim àD(yP) = Q yp->0 dyP Then after derivation of the nominator and denominator of (45), we get the limit lim Ak = »-> o 7]a lim dD(yp) / dyp yP~> 0 (46) With this electric motor we can theoretically do as difficult work as we desire, if we reduce size power stress enough. However, this work requires a lot of time. The condition limdD(yP)/dyF = 0 ho|ds for the function yp-* o D(y) = ay", where n)l. If n = 1, from (56) we get the expression A=-(a-yP) a (47) which shows a random linear decreasing amount of useful work by the amount of power stress. In this case, we get the limit lim Ak yp->o r]a a (48) Yp - max Y p /fi- as the power stress process so that useful work is maximal. The problem lies in the field of variation calculus. We will present the solution for a simple example when the power stress for each t is constant Yp (/) = yP ■ The system of equation (43) now becomes A = rtyPT y p - a- D(yp)T (44) From here we get A„ yyp(a-yp) D(yP) (45) If the stress is increased to the initial value of strength a, then It is almost sure that lim 4=0 yp-^a (49) In this case, the electric motor breaks down almost certainly at the beginning of its operation and does not allow for any useful work. Actually, the electric motor undergoes more stresses that we have not taken into account (temperature, wet, etc.). Therefore, its amount of useful work is also limited if the limit of power stress is zero. Example 3. We would like to have technical products that hold up strongly against stress and have great durability. Both postulations contradict one another. We will demonstrate the way and amount that we apply stress to a technical product continuously so that it would have maximal durability. We know that the product fails in the moment T when the stress becomes equal to the product strength, thus 29 Informacije MIDEM 32(2002)1, str. 22-32 A. Žižek, O. Težak, Š. Čelan: Failure Prediction Model Y(T) = X(T). Therefore, we must create stress on the product in a way that the stress is near the strength, yet it does not ever reach it. Assume that y+ is the boundary stress when the product almost fails, thus Y+ (t) = X(t) for each t. The initial stress is X(0) = a and the strength declination is D(t,y). From (4) we derive the strength process. Because y+ = X ■ we Process Y+as so'ut'on of the integral equation i X(t) = a-¡D(t,X(t)) d t (50) This is a simple form of Voltaire's integral equation that can be solved by an approximation procedure /6/. We can solve it also in the differential form if we derive (50) and get dX(t) d t = -D(t,X(ty) ; X(0) = a (51) Let us determine the boundary process of the stress in the simplest case, when it is true that D(y) = ay, where a is a random quantity. The differential equation (51) now has the form dX(t) dt -aX(t) ; X(0) = a Its solution is the exponential function Y+(t) = aexp(-o/) (52) (53) The exponential flow of boundary stress y+ 9ives a clear indicator that we must decrease the maximal stress of the technical product when it becomes fatigued, if we wish to prolong its durability. 7. Failure prediction in maintenance The durability of a technical product during its exploitation can be increased in two ways: 1. by decreasing unnecessary stress, 2. by prolonging its strength during its use. In the first case, we will add a refreshing unit to the transistor body or blow fresh air, if we deal with power transistors. We will also diminish wet or corrosive stress by covering them with plastic or painting. In the second case, we increase the strength by using composed technical products in such a way that we partially exchange a component before it fails, as is shown in figure 5. Increasing the durability of a technical product by Its user belongs to the field of preventive maintenance. A' Y O PIVI O I'M O t Figure 5. Strength is decreasing during the technical product operation (0) because of stress. Breakdown is prevented by repeated preventive maintenance (PM). Increasing the durability of the technical product is the responsibility of the user and belongs in the field of preventive maintenance. Preventive maintenance or exchanging of the product must be done before the product falls or before the stress exceeds the strength. We will demonstrate how we can do this with the computer. In everyday circumstances it is almost impossible to exact and dynamically predict the effect of the stresses on a technical product, but we can measure them and use the given results. If we know the initial strength a and the process of strength declination D for the product, we can use the measurement process of the stress to calculate its strength. Then from the measured stress Y, we can dynamically calculate the value of strength at a particular moment, the risk of failure and other quantities that have been mentioned in this paragraph, as shown in figure 6. Y(t) MEASUREMENT TECHNICAL PRODUCT (i, D X(t) D COMPUTER x(t) n(l; 5) 1-, 00 R, 00 A', (II ; 8) Figure 6. The computer can give us the data for preventing breakdown by using past and present measurements. 30 A. Žižek, O. Težak, Š. Čelan: Failure Prediction Model Informacije MIDEM 32(2002)1, str. 22-32 From the past stress process to the instant f we can predict, with previously known statistical methods, the next strength process Y* and X* from the relationship X* = )• With the aid of (8), we can continuously predict the moment of the product breakdown Tf by solving the inequality y;(u)>X;(U) (54) for the smallest u. In the case of continuous stress Yt • the inequality almost certainly becomes the equality % (T,) = X* (T,) (55) At any moment t the computer can dynamically calculate F,(u) = Pr{r, < m| (56) Rt(u) = Pr{r, > «} (57) "i Pi-{u0 t When the current stress value Y(t) moves to the current strength value X(t) of the technical product, it is probable that the product will fail. Therefore, we call failure risk N(t;S) = Pr{X(t)-Y(t)p (60) We can predict the failure risk dynamically if we use the predicted processes of the stress Y* and the strength X* ■ In this case, there is the predicted failure risk for any u>t Example 4. If the strength declination process depends only on stress D = D(y), then we get for u~> f from (1) the solution u X(u) = X(t) - J D(Y(t)) d t (63) The data for a and D is put into the computer in advance, and the data for stress Vis put into the computer by measurements taken at specific moments, as shown in figure 6. We get the simplest prediction of the stress process by taking Y* (u) = y*=cons. for each u>t> which equals the average value of the past stress - If y,=~\Y(u)du (64) 1 0 The strength prediction from (63) and (64) is x;(u) = x(t)-D(y;xu-t) (65) The failure moment Tt is dynamically predicted by the aid of (55) so that it holds true that X,{Tl) = yl (66) From the equations (65) and (66) we get the predicted durability at that moment as T, x(t)+D(y:)t-y: D(y.) (67) From the strength process (7) and (59) the computer calculates the failure risk N{t,ö) = ¥r\ci-\D(Y{t))dt-Y(t) < (68) The computer predicts the failure risk by the aid of (61) and (65) as (61) Nt{u,ö)=.Vr{x{t)-D{yt){u-t)-y: <ô} (69) We can also dynamically predict the moment a*, when the predicted failure risk reaches the set threshold p for the first time by the minimal solution of the inequality N,(u;6)>p (62) for u. Preventive maintenance or exchange of the product must be done before the failure risk reaches the set threshold p. The preventive maintenance or exchange of a technical product must be carried out while the inequality is N(f,ô)(p right before the risk reaches the threshold p. By using (62), the computer can predict in any instant f the moment u*)t, when the risk reaches the threshold p for the first time or 31 Informacije MIDEM 32(2002)1, str. 22-32 A. Žižek, O. Težak, Š. Čelan: Failure Prediction Model when It gets above the threshold p when dynamically solving the next inequality for minimal u Pr{x(i) - D(yt)(u -t)-y,p (70) 8. Conclusions We have explained the influence of the stress on technical product strength and how the product durability can be calculated. We have shown how this theory could be used in practice, by preventative maintenance. Further research on technical elements and systems can show the long-term advantages of the described model. The established reliability theory treats stress as a constant. Almost all technical systems incur stress dynamically In their use. Presented stress-strength model considers stress as a variable. Consequently, we are of the opinion that this new model Is more useful in specific circumstances. References /1/ Afza! Beg, "Estimation of Pr{K(X} for exponential family", IEEE Trans. Reliability, Vol. 29 1980, no.2, pp. 158-159 /2/ Arnold, Stochastic Differential Equations: Theory and Applications, 1974; John Wiley & Sons /3/ Belleni-Morante, Applied Semigroups and Evolution Equations, 1979; Clarendon Press /4/ McCool, "Confidence limits for Weibuii regression with censored data", IEEE Trans. Reliability, Vol. 29, 1980, no. 2, pp. 145-150 /5/ Stochastic Nonlinear Systems (Proceedings of the Workshop), 1981; Springer-Verlag /6/ Tsokos, W.J. Padgett, Random Integral Equations with Applications to Stochastic Systems, 1971; Springer-Verlag /7/ Urugal, S.K. Fenster, Advanced Strength and Applied Elasticity, 1987; Elsevier /8/ Dagsupta, H.W. Haslah Jr., "Mechanical design failure models for buckling", IEEE Trans. Reliability, Vol. 42, 1993 March, pp. 9-16 Dr. Adolf Žižek Dr. Štefan Čelan ZRS Bistra Ptuj Slovenski trg 6, SI-2250 Ptuj, Slovenia Tel.: +386-2-7480250, Fax.: +386-2-7480260 E-mail: stefan.ceian@guest.arnes.si Dr. Oto Težak University of Maribor Faculty of Electrical Engineering and Computer Science Smetanova 17, SI-2000 Maribor, Slovenia Tel.: +386-2-2207073, Fax.: +386-2-2511178 E-mail: tezak@uni-mb.si Prispelo (Arrived): 12.9.2001 Spre/eto (Accepted): 25.1.2002 32 UDK621.3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 32(2002)1, Ljubljana SOČASNO SINTRANJE KERAMIČNE KOMPOZITNE STRUKTURE Barbara Malič, Marija Kosec, Jena Cilenšek Institut 'Jožef Stefan', Ljubljana, Slovenija Ključne besede: keramika kompozitna, strukture keramične, keramika varistorska, keramika relaksorska, sintranje sočasno, mikroskopi segrevalni, študij primerov, sintranje omejeno, HF motnje visokofrekvenčne, strukture monolitne, rezultati eksperimentalni Izvleček: V delu opisujemo pripravo kompozitne strukture iz komercialne varistorske keramike na osnovi cinkovega oksida in relaksorske keramike na osnovi svinčevega magnezijevega niobata. Materiala smo izbrali na osnovi podobnih temperatur sintranja, to je med 900 °C in 1000 °C. Vzorce smo pripravili tako, da smo stisnili skupaj surovi tableti posameznih materialov. Sintranje vzorcev smo spremljali s segrevalnim mikroskopom. Kompozitne strukture smo pripravili pod pogoji, ki so vodili do primerljivih končnih skrčkov posameznih plasti. Mikrostrukturna analiza je potrdila dober stik med obema materialoma. Osnovne funkcionalne lastnosti relaksorske In varistorske keramike v kompozitni strukturi so sicer nižje od vrednosti ločeno slntranih vzorcev, vendar so še zadovoljive. Co-firing of ceramic heterostructures: a case study Key words: composite ceramics, ceramic heterostructures, varlstor ceramics, relaxor ceramics, co-firing, heating-stage microscopes, case studies, constrained sintering, HF disturbances, High Frequency disturbances, monolithic structures, experimental results Abstract: The aim of the present work was to prepare a monolithic layer-structured element from a commercial ZnO-based varistor and a relaxor based on lead magnesium niobate. The materials were chosen on the basis of similar sintering temperatures, in the range from 900 °C to 1000°C. Sintering of the samples was followed by the heating-stage microscope. The heterostructures were prepared under conditions that led to a uniform final shrinkage of both layers. Mlcrostructural analysis revealed a good contact between the two parts. Selected functional properties of both relaxor and varistor parts are lower than those of separately prepared ceramics, but still adequate. 1. Uvod Razvoj elektronike povečuje zahteve po miniaturizaclji elektronskih komponent in njihovi integraciji. Na področju pasivnih keramičnih komponent lahko kot primer integracije navedemo kompozitne plastne strukture. To so komponente, ki istočasno opravljajo vsaj dve funkciji, kot na primer kombinacija kondenzatorja in varistorja /1-3/, ki bi ščitila elektronska vezja pred visokofrekvenčnimi motnjami in napetostnimi konicami aH kombinacija induktorja in kondenzatorja /4/ kot LC filtra. Opisana primera sta bila izvedena v večplastni tehnologiji. Nadalje lahko navedemo visokotem-peraturne gorivne celice s trdnim elektrolitom (SOFC), kjer sta trdni elektrolit na osnovi stabiliziranega clrkonijevega oksida in katoda, ki je običajno kompleksni perovskit, v direktnem stiku pri visokih temperaturah /5,6/. Opisane elemente pripravljamo s sočasnim sintranjem vsaj dveh različnih keramičnih materialov In v primeru večplastnih struktur še kovinskih elektrod /7,8/. V nadaljevanju se bomo omejili na sistem dveh keramičnih materialov. Pri sočasnem sintranju različnih materialov se moramo pogosto soočiti z ukrivljenjem elementov in v skrajnem primeru celo s pojavom razpok na meji med plastmi ali v plasteh -vrste razpok so odvisne od napetosti v posameznih delih struktur (Slika 1). V splošnem moramo pri sočasnem sintranju različnih keramičnih materialov upoštevati različni temperaturi in intervala sintranja, končna skrčka, različna temperaturna raz- teznostna koeficienta in možne reakcije med materialoma med sintranjem. Slika 1: Razpoke v keramični plastni strukturi. Problem sočasnega sintranja materialov z različnima intervaloma sintranja je bil obdelan za vrsto plastnih struktur, na primer keramika / kovina, steklasta faza / keramika, steklasta faza/ kovina ali keramika na togi podlagi /7-10/. Povzamemo lahko naslednje ugotovitve različnih avtorjev: plast, ki se krči hitreje, je med sintranjem natezno obremenjena, tista, ki se krči počasneje, pa tlačno (Slika 2). V natezno obremenjeni plasti se razvijejo strižne napetosti (Slika 3), ki so največje v začetni stopnji sintranja. Če natezna 33 Informacije MIDEM 32(2002)1, str. 33-38 B. Malič, M. Kosec, J. Cilenšek: Sočasno sintranje keramične kompozitne strukture B B =t Slika 2: Shematični prikaz razvoja napetosti v kompozitni strukturi zaradi različnih končnih skrčkov posameznih plasti. k' Slika 3: Krčenja vzorcev pri sintranju: krčenje prostega, nevpetega telesa (levo) je enakomerno, medtem ko je krčenje plasti na togi podlagi (desno) različno v posameznih smereh, zaradi česar se v plasti pojavijo strižne napetosti. Začetne dimenzije teles so prikazane s polno, končne pa s črtkano črto. (Povzeto po ref. 10.) obremenitev preseže kritično mejo oziroma, če material ni sposoben sprostiti napetosti, kar je v veliki meri značilno za keramiko, lahko pride do pojava razpok. Sintranje plasti na togi podlagi, oziroma plasti, ki se hitreje sintra kot podlaga, je v primerjavi z neoviranim sintranjem istega materiala počasnejše /7-10/. Po Bordii in Schererju gre za spremembo mehanizma sintranja; medtem ko je za neovirano sintranje značilna difuzija po mejah zrn, je pri sintranju plasti na togi podlagi prevladujoča difuzija po kristalni rešetki /11/. Neujemanje končnih skrčkov posameznih plasti v kompozitni strukturi vodi do ukrivljenja In v skrajnem primeru do pojava razpok (Slika 4). Obstaja več pristopov, kako izenačiti končne skrčke, na primer s prilagajanjem velikosti delcev ali sestave posamezne plasti, pri večplastnih strukturah pa z vsebnostjo suhe snovi v plasti. Pogosta rešitev je uvedba vmesnih plasti, običajno z gradientom sestave /12/. Zanimivo rešitev problema ukrivljenja je predstavil H.T. Kim s Slika 4: Neujemanje končnih skrčkov posameznih plasti vodi do ukrivljenja keramične kompozitne strukture in /ali do pojava razpok na stiku med plastema. sodelavci /4/ z uvedbo troplastnih struktur tipov ABA ali BAB, pri čemer sta končna skrčka plasti A in B različna, kjer je s posamezno geometrijo dosegel natezno oziroma tlačno obremenitev srednje plasti in nasprotno obremenitev obeh zunanjih plasti, zaradi simetrične zgradbe elementa pa seje izognil ukrivljenju. Pripravili smo monolitno kompozitno strukturo, sestavljeno iz komercialne varistorske keramike na osnovi ZnO in relak-sorske keramike na osnovi Pb(Mgi/3Nb2/3)03. Materiala sta bila izbrana na osnovi podobnih temperatur sintranja, poleg tega imata podobna linearna temperaturna razteznostna koeficienta, okrog 9x 10~6/°C. Ujemanje končnih skrčkov različnih materialov smo poskusili doseči s spreminjanjem zelene gostote, to je gostote stisnjenega vzorca pred žganjem. Pri laboratorijskem delu smo različne zelene gostote dosegli s spreminjanjem pritiska stiskanja prahov. Tako smo pripravili vzorce z različnimi zelenimi gostotami, ki so po žganju do zaprte poroznosti dosegli različne končne skrčke. S primerno kombinacijo zelenih gostot in pogojev žganja smo pripravili kompozitne strukture, pri katerih sta tako varis-torski kot kondenzatorski del ohranila funkcionalne lastnosti/3/. Namen tega dela je pokazati, kako smo s pomočjo seg-revalnega mikroskopa spremljali potek sintranja posameznih materialov in kompozltnih struktur. 34 B. Malič, M. Kosec, J. Cilenšek: Sočasno sintranje keramične kompozitne strukture Informacije MIDEM 32(2002)1, str. 33-38 2. Eksperimetnalnodelo Izhodna prahova sta bila komercialni varistorski material na osnovi ZnO (KEKO Varicon) in relaksorski material na osnovi Pb(Mgi/3Nb2/3)C>3 (PMN, Tamtron). Tablete s premerom 6.0 mm smo stisnili enoosno s pritiskom 100 MPa. Kompozitne strukture smo pripravili tako, da smo plast enega materiala stisnili s pritiskom 10 MPa, nanjo nasuli plast drugega materiala, ponovno stisnili s pritiskom 10 MPa, nakar smo celoten element stisnili s končnim pritiskom 200 MPa. Krivulje sintranja smo posneli s segrevalnim mikroskopom Leitz s hitrostjo segrevanja 10°C/minuto. Vzorce smo fotografirali pri temperaturah, ki so navedene pri rezultatih. Dimenzije tablet pri posameznih temperaturah smo odčitali s fotografskega filma v merilnem mikroskopu Carl Zeiss in izračunali skrčke. Vzorce smo segrevali v cevni silitni peči pri temperaturi 950°C eno uro s hitrostjo segrevanja 5°C/min,, ohlajanja pa 2°C/min. Gostoto tablet po sintranju smo določili geometrijsko: iz dimenzij in mase vzorcev. Za mikrostrukturno analizo z vrstičnim elektronskim mikroskopom smo vzorce spolirali in jedkali. Nadalje smo posamično pripravljenim vzorcem in kompoz-itnim strukturam izmerili električne lastnosti: za varistorsko keramiko koeficient nelinearnosti a, nazivno napletost Un in tok prepuščanja h, za kondenzatorsko keramiko pa kapaci-tivnost in izgubni kot pri 1 kHz. Za meritve električnih lastnosti smo kompozitne strukture po preseku razžagali z diamantno žago na oba sestavna dela. Robove smo poravnali z brušenjem s finim SiC papirjem . Na očiščene površine smo nanesli srebrovo pasto in jo odžgali pri 590°C, 15 min. 3. Rezultati Sliki 5 in 6 prikazujeta krivulji sintranja posameznih vzorcev relaksorske in varistorske keramike. Vzorec varistorske keramike se krči v precej širšem temperaturnem intervalu, od 750 °C do 1050 °C. Odvod krivulje sintranja izraža več maksimov, najizrazitejši je pri 854 °C. Gostota keramične tablete po eksperimentu je 5.3 g/cm3. Teoretična gostota osnovne komponente varistorja, Zno, je 5.68 g/cm3/13/. Relaksorska keramika se začne intenzivno krčiti pri 700 °C in pri 880 °C doseže končni skrček 17 %. Maksimum odvoda krivulje sintranja, ki predstavlja največjo hitrost krčenja, je pri 800 °C. Gostota vzorca po eksperimentu, preračunana iz končnega skrčka in podatka o zeleni gostoti je 7.5 g/cm3. Teoretična gostota Pb(Mgi/3Nb2/3)03 je 8.17 g/cm3 /14/. Predhodno smo ugotovili, da dosežejo vzorci varistorske in relaksorske keramike, ki so bili stisnjeni s pritiskom 200 MPa, enak končni skrček po žganju pri 950 °C, 1h ob M 200 400 600 800 1000 1200 1400 t(°c) Slika 5: Dinamična krivulja sintranja varistorske keramike. 18-, 16-14 -12 10 & 8 < 6 300 400 500 600 700 800 900 1000 1100 t(°c) Slika 6: Dinamična krivulja sintranja relaksorske keramike. primerni gostoti, mikrostrukturi in električnih lastnostih /3/ . Zato smo v nadaljevanju kompozitne strukture pripravljali s pritiskom 200 MPa. Slika 7 prikazuje krivuljo sintranja kompozitne strukture, stisnjene s pritiskom 200 MPa. Točke v diagramu ustrezajo -4 25 C ohlajanje - varlst. plast - relaks. plast i r ,7 J A segrevanje 200 400 600 800 1000 1200 T(°C) Slika 7: Krivulja sintranja kompozitne strukture, stisnjene s pritiskom 200 MPa. Zgornji del tablete je varistorska plast, spodnji del pa relaksorska plast. S fotografskega filma smo odčitavali zgornji in spodnji rob tablete. 35 Informacije MIDEM 32(2002)1, str. 33-38 B. Malič, M. Kosec, J. Cilenšek: Sočasno sintranje keramične kompozitne strukture vrednostim skrčkov, izračunanih iz dimenzij obeh zunanjih robov vzorca, kot je prikazano na v sliko vključeni shemi. Na sliki i so prikazani fotografski posnetki kompozitne strukture pri posameznih temperaturah. začetek. 25 °C 900 °C 400 °C 1000°C 750 °C 1100°C 790 °C konec: 25 °C 850 °C Slika 8: Posnetki kompozitne strukture med segrevanjem v segrevalnem mikroskopu pri izbranih temperaturah. Zgornji del vzorca je varistorska plast, spodnji del pa relaksorska plast. Vzorec se enakomerno krči do 750 °C, ko doseže 1 % skrček. Samo pri 40 °C višji temperaturi, pri 790 °C, pride do izrazitega krčenja spodnjega, relaksorskega dela strukture, ki doseže 6 % skrček, medtem ko se zgornji - varistor- ski del skrči le za 1.5%. Na posnetku tablete pri 800 °C je lepo vidna razlika dimenzij spodnjega in zgornjega roba. Tableta je kljub velikim napetostim zaradi različnih skrčkov posameznih plasti dokaj ravna, kar je verjetno posledica 36 B. Malič, M. Kosec, J. Cilenšek: Sočasno sintranje keramične kompozitne strukture Informacije MIDEM 32(2002)1, str. 33-38 dovolj velike mase (270 mg) in debeline vzorca. Opazimo samo, daje spodnji levi del tablete nekoliko dvignjen nad ravnino objektne mizice. Pri 850 °C je skrček spodnjega dela 13 %, zgornjega pa 5.5%. Nadalje lahko na posnetku vidimo, da je približno sredina tablete rahlo izbočena. Na nobenem posnetku nismo opazili razpok po sredini tablete, sklepamo, da je stik med plastema dovolj močan. Pri nadaljnjem segrevanju pride v bistvu le do krčenja varis-torskega dela, saj doseže relaksorska plast končni skrček že okrog 900 °C. Pri končni temperaturi 1100 °C je razlika v skrčkih zgornjega varistorskega in spodnjega relaksorske-ga roba kompozitne strukture majhna, okrog 1 %, robova tablete sta skoraj ravna. Med ohlajanjem do sobne temperature se razlika v skrčkih zgornjega in spodnjega roba tablete bolj ali manj ohrani, pri sobni temperaturi se premera spodnjega in zgornjega roba razlikujeta za 0.5%. Opisani eksperiment je bil dobra osnova za nadaljnje načrtovanje poskusov sintranja kompozltnih struktur. Kritična faza sintranja kompozitne strukture je temperaturni interval med 790 °C in 900 °C, ko pride med segrevanjem najprej do izrazitega krčenja relaksorskega dela, medtem, kosevaris-torski del krči v precej širšem temperaturnem intervalu, do približno 1000 °C. Po literaturi /10,11/ je ravno začetna stopnja sintranja kritična za nastanke razpok. Sodimo, da do ukrivljanja strukture ne pride predvsem zaradi relativno velike debeline vzorca, okrog 2.5 mm, glede na premer 6.0 mm. Podatka veljata za zeleno tableto. V primeru tanjših kom-pozitnih struktur pričakujemo pojav ukrivljenja, podobno, kot je prikazano na Sliki 4. Nadalje smo kompozitne strukture, pripravljene s pritiskom stiskanja 200 M Pa, sintrall pri 950 °C. Kot smo že omenili, smo s predhodnimi poskusi določili, da ta kombinacija pritiska stiskanja in toplotne obdelave vodi do primerljivih lateralnih skrčkov posameznih vzorcev relak-sorske in varlstorske keramike in seveda do primerno visokih gostot/3/. Opazili smo, daje predvsem hitrost ohlajanja kritična za pojav razpok: pri 2 °C/min. vzorci niso razpokali, medtem ko je pri večjih hitrostih ohlajanja pogosto prišlo do pojava razpok. Mikrostruktura preseka kompozitne strukture je prikazana na Sliki 9. Stik med svetlo relaksorsko In temno varistorsko plastjo je brez razpok. Opazimo izrazito povečanje poroznosti vvaristorski plasti tik ob stiku, medtem ko je mikrostruktura varistorske plasti v večji oddaljenosti od stika gosta /3/. Osnovne funkcionalne lastnosti posameznih plasti v kom-pozitni strukturi in lastnosti ločeno sintranih varistorskih in relaksorskih vzorcev so zbrane v Tabeli 1. Karakteristike varistorske plasti v kompozitni strukturi so slabše kot karakteristike ločeno sintrane keramike. Če primerjamo samo koeficient nelinearnosti a, vidimo, da doseže v kompozitni struk- Slika 9: Mikrostruktura stika med relaksorsko (svetlo) in varistorsko (temno) plastjo v kompozitni strukturi. Vzorec je bil pripravljen s pritiskom stiskanja 200 MPa in sintran pri 950 °C, 1 ura. turi vrednost 31, medtem ko je a v ločeno sintranem vzorcu 44. Ne glede na to pa so vse dobljene vrednosti a še v okviru literaturnih navedb za komercialno varistorsko keramiko/15/. Keramika Varistor Relaksor h (mm) a Un (V) it (HA) e x 103 tan 8 Plastna struktura 0.58 31 481 I 14.2 0.05 Ločeno sintran vzorec 1.00 44 701 0.8 21.8 0.019 Tabela 1: Karakteristike varistorske keramike: nelinearnostni koeficient a, nazivna napetost Unpri 1 m A, tok puščanja // pri 0.6 Un, in karakteristike relaksorske keramike dielektrična konstanta e in izgubni kot tan d pri 1kHz posameznih plasti in ločeno sintranih tablet. Pogoji priprave vzorcev.pritisk stiskanja surovih tablet: 200 MPa, žganje pri 950 °C, 1 ura. Dielektrična konstanta relaksorske plasti v kompozitni strukturi je 14000, torej nižja kot 22000, ki jo doseže ločeno sintrani vzorec. V splošnem so funkcionalne karakteristike kompozitne strukture slabše kot vrednosti posameznih keramičnih materialov, pa vendar so še primerno visoke. 37 Informacije MIDEM 32(2002)1, str. 33-38 B. Malič, M. Kosec, J. Cilenšek: Sočasno sintranje keramične kompozitne strukture 4. Sklepi S segrevalnlm mikroskopom smo spremljali sintranje keramične kompozitne strukture, sestavljene Iz relaksorske-ga in varistorskega materiala. Iz izbranih materialov smo s primerno kombinacijo pritiskov stiskanja In pogojev toplotne obdelave lahko pripravili monolitno kompozitno strukturo, v kateri sta tako relaksorski kot varistorski del ohranila svoje funkcionalne lastnosti. Zahvala Delo smo izvedli v okviru razvojno raziskovalnega projekta Ministrstva za šolstvo, znanost In šport Republike Slovenije. Tovarni KEKO Varicon, Žužemberk se zahvaljujemo za vzorce. Zoranu Samardžiji se zahvaljujemo za analizo vzorcev na vrstičnem elektronskem mikroskopu, Sreču Mačku pa za električno karakterizacijo varistorske keramike. 5. Viri /7./ J. W.Choe, J. N. Calata, G.-Q. Lu, Constrained Film Sintering of a Gold Circuit Paste, J. Mater. Res., 10, 986-994, (1995). /8./ J. H. Jean, C. R. Chang, Camber Development during Cofiring Ag-based Low-Dielectric-Constant Ceramic Package, J. Mater. Res., 12 , 2743 - 2750, (1997). /9./ J. Bang, G.-Q. Lu, Constrained Film Sintering of a Borosilicate Glass: In Situ Measurement of Film Stresses, J. Am. Ceram. Soc., 78, 813-815, (1995). /10./ R. K. Bordia, R. Raj, Sintering Behaviour of Ceramic Films Constrained by a Rigid Substrate, J. Am. Ceram. Soc., 68, 287-292(1985). /11./ R. K. Bordia, G. W. Scherer, On Constrained Sintering -I. Constitutive Model for a Sintering Body, II. Comparison of Constitutive Models, III. Rigid Inclusions, Acta Metall., 36, 2393 - 2416,(1988). /12./ D. Schmid, B. Hoffmann, Multilayer Electronic Ceramics, Elec-troceramics IV, 1069 - 1074, (1994). /13./ JCPDS- International Center for Diffraction Data, 36- 1451, 1997. /14./ JCPDS- International Center for Diffraction Data, 27- 1199, 1997. /15./ D. J. Clarke, Varistor Ceramics, J. Am. Ceram. Soc., 82, 485 -502,(1999). /1./ H. C. Ling, M. F. Yan, W. W. Rhodes, Monolithic Device with Dual Capacitor and Varistor Response, J. Am. Ceram. Soc., 72, 1274-1276,(1989). 12.1 F.J.Toal, J.P.Dougherty, C.A.Randall, Processing and Electrical Characterization of a Varistor-Capacitor Cofired Multilayer Device, J. Am. Ceram. Soc., 81, 2371-2380, (1998). /3./ B. Malic, M. Kosec, J. Razinger, Z. Zivlc, Processing and characterization of cofired capacitor and varistor ceramics, in Materials for smart systems III, Materials Research Society Symposium Proceedings, 604, 341-346, 2000. /4./ H. T. Kim, S. K. Ko, Y. Kim, Design and Electrical Properties of Multilayer Thick Film LC Filters for RF Circuits, in Multilayer Electronic Ceramic Devices, Ceramic Transactions, 97, 241-252,(1999). /5./ N. Q. Minh, Ceramic Fuel Cells, J. Am. Ceram. Soc., 76, 563 -588, (1993). /6./ K. Kleveland, M.-A. Einarsud, C. R. Schmidt, S. Shamsili, S. Faaland, K. Wiik, T. Grande, Reactions between Strontium-Substituted lanthanum Manganite and Yttria-Stabilized Zirco-nia: II, Diffusion Couples, J. Am. Ceram. Soc., 82, 729 -734, (1999). Dr. Barbara Malič Prof. dr. Marija Kosec Ing. Jena Cilenšek Institut Jožef Stefan, Jamova 39, SI-1000 Ljubljana, Slovenija Tel.: ++386 1 4773 431, Fax: ++386 1 426 3 126 E-mail: Barbara.malic@ijs.si Prispelo (Arrived): 15.1.2002 Sprejeto (Accepted): 26.2.2002 38 UDK621.3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 32(2002)1, Ljubljana ANALYSIS OF A BRAZED JOINT OF SILVER AND COPPER Janez Tušek, Miro Uran Institut za varilstvo, Ljubljana, Slovenia Key words: solder joints, welding joints, metal-metal joints, brazing, electro-resistance brazing, Ag-Cu brazed joints of silver and copper, brazing filler metals, joint cross-sections, electric welding, electro-resistance welding, welding electric current, electric voltage drop, chemical analysis, contact elements, cost reduction Abstract: The paper describes a practical application of resistance brazing to joining of a silver element and a copper element to make a contact element to be used in electrical engineering. First the two elements to be brazed are shown schematically. By means of an Auger electron spectrometer a chemical analysis of both surfaces to be joined by brazing, I.e. the copper-element surface and the surface of the filler metal placed at the surface of the silver element, is made. The experimental part schematically shows the principle of resistance welding and brazing, and diagrams show variations of current and voltage drop in both workpieces during brazing as a function of time. An analysis of the brazed joint included assessment of joint quality and of the occurrence of pores or other imperfections at the cross section of the joint. At individual locations of the joint, a chemical composition was analysed with a scanning electron microscope JEOL JSM with an energy disperse X-ray analyser. The conclusions drawn Indicate that the technology applied to joining of silver and copper in the electrotechnical industry is quite suitable, although costly. From the points of view of technology and economy it would be reasonable to investigate a possibility of welding silver and copper, i.e. joining without the application of brazing filler metal. Analiza spajkanega spoja srebra in bakra Ključne besede: spoji spajkani, spoji varjeni, spoji kovina-kovina, spajkanje trdo, spajkanje elektrouporovno, Ag-Cu spoji srebro-baker spajkani trdo, spajke trde, preseki spojev, varjenje električno, varjenje elektrouporovno, tok električni varilni, padec napetosti električne, analiza kemična, elementi kontaktni, znižanje stroškov Izvleček: V članku je prikazan praktični potek elektrouporovnega spajkanja elementa iz srebra in elementa Iz bakra, ki se vgrajujeta kot kontaktni element v elektrotehniki. Najprej sta shematsko prikazana oba elementa, ki ju spajkamo, s spektrometrom Augerjevih elektronov pa je narejena kemična analiza ober površin, ki se med spajkanjem spojita. To sta površina bakrenega elementa in površina spajke, ki se že pred spajkanjem nahaja na površini srebrnega elementa. V okviru eksperimentalnega dela je shematsko prikazan princip uporovnega varjenja, v diagramih pa sta prikazana potek jakosti toka in padca napetosti v obeh spajkancih med spajkanjem v odvisnosti od časa. Pri analizi samega spoja smo na prečnem prerezu spoja ugotavljali kakovost spoja, prisotnost morebitnih por ali drugih napak. Na posameznih mestih pa smo z vrstičnim elektronskim mikroskopom JEOL JSM z energijskim disperzijskim analizatorjem rentgenskih žarkov analizirali kemično sestavo. Na koncu članka smo podali zaključke, ki pravijo, da je tehnologija spajanja srebra z bakrom za elektrotehnično industrijo popolnoma ustrezna, toda nekoliko draga. Z ekonomskega in tehnološkega vidika bi bilo smiselno raziskati tudi tehnologijo varjenja srebra in bakra, t.j. spajanje brez uporabe spajke. 1. Introduction Increased demands for higher quality and productivity as well as cutting the production costs require introduction of up-to-date and reliable manufacturing technologies by manufacturers. Joining by welding and brazing processes may certainly be considered such advanced technologies. For example, in electrotechnical industry elements are often used which have to conduct electric current efficiently, show good strength and corrosion properties, and endure dynamic loads. By using an appropriate joining method for various elements made of different materials it is possible to produce a final product with the properties required. In numerous electric assemblies, elements can be found which were manufactured by welding or brazing of the same or different materials. The materials most often used for such applications are copper, brass, silver, and stainless steels. The various materials can be joined in different ways. A special joining technology has to be elaborated for making each joint of two or more similar or dissimilar metals separately. Fusion welding can be used only under certain conditions, and only with certain similar or dissimilar materials. The different metals to be fusion welded should have the same or at least similar chemical, metallurgical, and physical properties. Highly different metals, however, can be joined permanently only by brazing with a brazing filler metal which melts in the course of the process and joins the two elements. It should be, however, taken into account that any joint made by fusion represents inhomogeneity between two metals. If the brazing filler metal is used, this inhomogeneity is even stronger. With electric elements It shows as an increased resistance during operation at room or elevated temperature. 2. Posing the problem In manufacture of electotechnical elements very often a need arises to join a silver element and a copper element. In the literature practically no useful instructions or data on joining of silver and copper can be found. Indeed some general principles of welding and brazing of non-ferrous metals can be found in various publications /1-7/ but for such special cases as the one treated in the present paper, some experimental work had to be done. Taking into account the size of series, resistance welding and brazing processes have established themselves as the 39 Informacije MIDEM 32(2002)1, str. 39-43 J.Tusek, M. Uran: Analysis of a Brazed Joint of Silver and Copper most cost-effective processes to be used with practical applications. Direct joining of silverto copper by welding without the application of filler metal shows numerous advantages over a brazed joint. They are higher homogeneity of the joint as a whole, more uniform characteristics of the entire element, which particularly concerns voltage drop in electric-current conduction. But the technology of welding pure silver-based and copper-based materials or alloys Is extremely demanding due to high electric conductivity of the materials. Thus in many cases resistance brazing is preferred. Figure 1 schematically shows copper and silver elements, a brazing filler metal, and the entire joint. 35 ,4.5 Brazing filler metals Fig. 1. Schematic representation of copper and silver elements including brazing filler metal, and the joint. Lower energy required to produce the joint In particular is an advantage of resistance brazing over resistance welding. In brazing the parent metals will not melt but only heat up to the melting temperature of the brazing filler metal. In the course of brazing the filler metal will melt. The melting temperature of the filler metal is much lowerthan that of the parent metals, I.e. silver and copper, and a higher ohmic resistance and lower thermal conductivity, which makes it easier to produce the joint with Joule's heat. Resistance welding is based primarily on the principle of high contact resistance between two workpieces which is much higher than the resistance in the workpieces and that between the workpiece and the electrode. This principle Is made use of in welding of all kinds of steel plates. In welding of non-ferrous metals with high electric conductivity, however, the contact resistance between the workpieces is comparatively low. Consequently, at the interface between the two metals low thermal energy is generated. In general, contact resistance per unit area depends on the kind of the materials being in contact and on surface roughness. With most metals, their surface is contaminated by impurities and oxides, therefore, they show higher ohmic resistance than pure metals. In our case, the decisive role was played by the contact resistance between the copper element and the filler metal placed on the silver element. A chemical analysis of both surfaces was made. An Auger electron spectroscope (AES) was used. The primary static electron beam had an energy of 3 keV, a current of 0.8 jxA, and a diameter of 40 ^m. The two test pieces were etched at an area of 4.5 x 4.5 mm with two argon (Ar+) Ion beams with an energy of 1 keV at an angle of 47°. Velocity of ion etching was 2 nm/min. The concentration of the elements at the surface was calculated using sensitivity factors specified by the manufacturer of the apparatus. Figure 2 shows a through-depth profile of the chemical composition obtained with the copper element. The surface is covered by a thin contaminated carbon layer. It is estimated that the layer has a thickness of a few atom layers. The surface is mildly oxidised. Traces of chlorine were found as well. Small plate 2 4 6 Ion-etching time (min) Fig. 2. Through-depth profile of chemical composition at the surface of copper element obtained with AES (velocity of ion etching: 2 nm/min) Figure 3 shows results obtained with the silver element including the filler metal. The surface of the filler metal was analysed. The filler-metal layer at the surface of the silver element was 100 mm thick. At the surface of the filler metal some carbon and oxygen Impurities were found. The composition of the filler metal was as follows: 74%Cu, 18%Ag, and 8% P. This is, however, the composition of the filler-metal surface. The composition of the filler metal Itself differs very much from this composition. àîî Ou brazing tiller metal 100 80 60- 40 20- \c ____ \ \ / Cti \ Ak 1 s'" P 10 15 20 25 Ion-elching time (min) 30 35 40 Fig. 3. Through-thickness profile of chemical composition at the surface of filler metal placed on the silver element (velocity of ion etching: 2 nm/min). 40 J.Tusek, M. Uran: Analysis of a Brazed Joint of Silver and Copper Informaclje MIDEM 32(2002)1, str. 39-43 Both figures, i.e., Figs. 2 and 3, indicate that the contact surfaces to be brazed are covered by some impurities, but these layers are too thin to make contact resistance essentially increase. At both surfaces roughness was measured too. The measurements made showed that the surface of the copper element is much smoother than that of the filler metal placed on the silver element. Roughness at the filler-metal surface somewhat increases the contact resistance between the filler metal and the copper element, which makes brazing easier. 3. Experimental work Experiments were made with a common resistance welding device and an alternating current with a frequency of 50 Hz. The principle of resistance spot brazing is shown in Fig. 4. Individual elements making the secondary part of the machine with a transformer such as electrode holders, clamping dies, electrodes, and the workpieces are shown. Materials forthe electrodes and the lower-electrode clamping die are described. In resistance brazing and welding, particularly of non-ferrous metals, it is very difficult to select the right materials forthe electrodes. The electrodes should conduct electric current efficiently, show high strength and hardness at room temperature as well as at elevated temperatures. Ag brazing filler mêlais Cu © Fig. 4. Schematic representation of resistance spot brazing of silver to copper. 1 - upper-electrode holder; 2 - lower-electrode holder; 3 - upper electrode (silver); 4 - lower electrode (copper); 5 - connecting piece (copper); 6 - electrode (tungsten). On the basis of experimental results, a copper electrode was selected to be positioned on the silver element and a tungsten electrode forthe copper element (Fig. 4). As already mentioned, in brazing the brazing filler material should melt whereas the two elements should heat only up to the operating temperature. Optimum brazing parameters were found experimentally. The most important brazing parameters are alternating-cur- rent intensity, electrode forces, and brazing time. The range of the optimum parameters is very narrow. This is particularly true of the brazing time and the brazing current intensity. In resistance brazing, current intensity is most often set in two steps. A rough setting is performed with a switch which includes different numbers of windings at the primary side of the transformer whereas a fine setting is performed with two thyristors acting as a switch at the primary side of the welding transformer as well. The optimum effective intensity of brazing current ranged between 6900 A and 7250 A, the optimum time between 180 ms and 220 ms, and the optimum electrode force between 430 N and 590 N. Quality assessment of a spot joint obtained in resistance welding or brazing is a very difficult and time-consuming task. No efficient method has been found yet to make a reliable assessment of a spot weld based on the variation of welding and brazing parameters respectively. One of future methods which might provide appropriate results is measurement of the voltage drop In the entire joint in the course of welding and brazing respectively. Figure 5 shows a variation of voltage drop in spot brazing in duration of 200 ms with an effective current of 7100 A, and an electrode force of 480 N. It can be seen that the initial contact resistance was comparatively high. Immediately afterthe beginning of brazing it began falling and was falling for approximately 80 ms. After 80 ms of brazing, it was rising again till brazing was completed. Such a result could be expected. At the beginning of brazing the contact between the two surfaces was namely incomplete. This resulted in a high current density and, consequently, a strong voltage drop. T V 100 Time [ms| Fig. 5. Voltage drop at both workpieces as a function of time in resistance spot brazing of silver to copper: I = 7100 A, F = 480 N Right after the beginning of brazing, the contact surface between the filler-metal surface and the copper-element surface increased due to Joule's heat and the electrode force. This produced the resistance and the voltage drop. After approximately 80 ms of brazing both workpieces obviously heated up so that the brazing filler metal started melting. As the resistance of a majority of metals Increases at elevated temperatures, the voltage drop becomes stronger as well. In the last phase when the brazed joint finally Is formed and the contact resistance between the electrodes 41 Informacije MIDEM 32(2002)1, str. 39-43 J.Tušek, M. Uran: Analysis of a Brazed Joint of Silver and Copper and the workpieces decreases, the voltage drop Is again weaker. Figure 6 shows a variation of the current during brazing. Right at the beginning of brazing the current Intensity is a little lower since the contact resistance between the elements brazed is very high (see Fig. 5). After some ten milliseconds of brazing, a current of a stronger Intensity flows which is then almost constant. perse X-Ray (EDX) spectra were registered at the above-mentioned locations. The spectra obtained permitted the determination of the chemical compositions. This is an analytical method of determination of the composition of an around 1 mm thick layer. In Table 1 the elements found at the point analysed are stated. It is estimated that the error may amount to around 2 wt-%. \ < 7.1 A : ....... V.......^ F 1 i i ! y 6.9 ; / : I ti.8 -I........./ - i i 6.7 !■■■/■■ i / n 50 100 150 200 '["ime (ins| Fig. 6. Variation of current during brazing of silver to copper 4. Analysis of the brazed joint Already during the study of the optimum parameters, macro specimens of the brazed joints were made, joint quality and dilution of the filler metal with the parent metal were studied, possible defects In the brazed joint were searched for, and individual macro specimens were compared with each other and with the brazing parameters. The brazing parameters of the joints of which the macro specimens fulfilled the stringent requirements for acceptance were taken as optimum parameters. Figure 7 shows a cross section of the brazed joint of silver and copper. On the top the silver element is found, on the bottom the copper element, and in between a layer of the filler metal. The photo was magnified by 100 times, which means that 1 cm in the photo corresponds to 100 mm at the actual specimen. Figure 8 also shows a cross section of the same brazed joint magnified by 600 times, which means that 1 cm in the photo corresponds to 17 mm at the actual specimen. In both figures (7 and 8) capital letters indicate eight different locations. At locations D, E, F, and G chemical analyses were made. For this purpose the scanning electronic microscope JEOL JSM 35 with energy-dispersion microana-lyser of X-rays TRACOR TN 2000 was used. With the electronic microscope photos of the specimens were taken with secondary electrons emanating from bombardment of the specimen by an electron beam with an energy of 25 keV, current 0.2x10"1° A, and a beam diameter of 200 nm. Using the method of electron microanalysis the energy dis- Designation Description Ag (wt-%) Cn (wt-%) P (wt-%) Location D grain 84.5 15.5 0 Location E gram 82.4 17.6 0 Location F phase l 3.6 96.4 0 Location G phase 2 10.3 85.7 4 Location H phase 2 11.5 84.5 4 Table 1. Compositions of the analysed locations at the specimen of the brazed joint in weight percentages. Locations are marked in the same way as in Fig. 8. At the cross section of the silver plate two different zones were observed. In the upper zone (A in Fig. 7) dark pores were observed. The basic composition of the zone was silver. Traces of aluminium and copper, however, were found too. In the lower zone there was a 200 ¡am thick layer (B in Fig. 7) containing no dark pores. The chemical composition is the same as in the upper zone of the plate. A special layer is the layer of the filler metal (C in Fig. 7) which melted during brazing and partly diluted by the parent metal, particularly silver, and much less with copper. Priorto brazing the thickness of the filler-metal layer placed on the silver element was 100 |j,m (see Fig. 1 ). Hl MÊ^^mm il illpÉT I ÉNiËMMli ¡«1 ¡¡■¡Sli ■P ■ lOO^im WÊIÊÊIÊÊÊÊÊÊÊÊÊÊÊÊÊ Fig. 7. Cross section of the brazed joint magnified by 100 times; brazing parameters: I = 7100 A, F=480N The layer formed during brazing was approximately 40 mm thick. It was composed of grains rich in silver and two inter-metallic phases rich in copper. Some pores were found here too. The boundary between the filler-metal layer and the copper element was straight. This indicates that during 42 J. Tušek, M. Uran: Analysis of a Brazed Joint of Silver and Copper Informacije MIDEM 32(2002)1, str. 39-43 brazing there were no conditions for the formation of new phases or grains from the filler-metal elements or copper. Suitable conditions for such processes would be a longer brazing time or a higher energy input in the joint. In Figure 8 capital letters D and E Indicate the grains (in electronic microscope shots they are of light colour) containing 85 wt-% of silver and 15 wt-% of copper. Fig. 8. Cross section of the brazed joint magnified by 600 times; brazing parameters (see Fig. 7). Among these grains rich in silver and some pores in this layer there are also two phases both rich in copper but each having a different composition. The first phase consists of 96 wt-% of copper and 4 wt-% of silver and is round-shaped (F in Fig. 8). The second phase consists of 85 wt-% copper, 11 wt-% of silver, and 4 wt-% phosphor, and is fine-grained (G and H in Fig.8). Fig. 9. Cross section of the brazed joint containing pores magnified by 200 times; brazing parameters: I = 6750 A, t = 300 ms, F = 480 N In the case brazing was carried out with parameters beyond the range of optimum parameters, the joint obtained was not so homogeneous as the one shown In Figures 7 and 8. Figure 9 shows a cross section of the brazed joint containing pores with diameters ranging from 65 to 85 ^m. The pores are found in the filler-metal layer and are relatively numerous, i.e., much more numerous as in the specimen shown in Figures 7 and 8. Quality of such a brazed joint is not acceptable. Consequently, such brazing parameters were excluded from the optimum range although the appearance of the brazed joint might be satisfactory and joint strength within the limits of acceptability. 5. Conclusions The study of brazing of the silver element with the copper one showed that the comparatively low energy input permits the achievement of a quality joint and that the inhomo-genelties at the brazing boundary, if brazed with the optimum parameters, are negligibly small. A difficulty encountered in the practical application of this technology is that the assessment of the quality of such a joint is very exacting. In spite of an excellent visual appearance of the joint and satisfactory joint strength it might happen that there are pores at the brazing boundary which will impairthe characteristics of the brazed element, and they may be noticed only after a shorter or longer operation time. 6. References /1 / Welding Handbook, 7th ed. Vol. 4 Metals and their Weldabil- ity. American Welding Society, Miami, Florida, 1982. /2/ R. J. C. Dawson. Fusion Welding and Brazing of Copper and Copper Alloys. Butterworths, London, 1973. /3/ S. Anik, L. Dorn. Metallphysikalische Vorgänge beim Sch-weissen von Kupfer und Kupferlegierungen - Werkstoffliche Grundlagen. Schweissen und Schneiden, vol. 39, no. 12, pp. 617-623, 1987. /4/ V. Culcut, L. Brown. Joining of copper and copper alloys. Welding & Metal Fabrication, vol. 64, no. 6, pp. 232-235, 1996. /5/ L. Brown. Joining of copper and copper alloys. Welding & Metal Fabrication, vol. 63, no. 1, pp. 18-21, 1995. /6/ P. S. Sangha, D. M. Jacobson, A. T. Peacock. Development of the copper-tin diffusion-brazing process. Welding Journal, vol. 77, no. 10, pp. 432s-438s, 1998. /7/ G. Flood. Ultrasonic energy welds copper to aluminium. Welding Journal, vol. 76, no. 1, pp. 43-45, 1997. izr. prof. dr. Janez Tušek, univ. dipl. inž. Miro Uran, univ. dipl. inž. Institut za varilstvo Ptujska 19, 1000 Ljubljana, Slovenija tel: +386 01 436 77 00, fax: +386 01 436 72 22 e-mail: (janez.tusek, miro.uran)@guest.arnes.si Prispelo (Arrived): 11.1.2002 Sprejeto (Accepted): 26.2.2002 43 Informacije MIDEM 31(2001)4, Ljubljana UDK621,3:(53+54+621 +66), ISSN0352-9045 INTELIGENTNI PREIZKUSEVALNIK IN POLNILNIK BATERIJ Jože Dedič, Andrej Žemva Fakulteta za elektrotehniko, Univerza v Ljubljani Ključne besede: členi sekundarni, akumulatorji električni, preskuševalniki baterij, preskuševalniki inteligentni, polnilniki akumulatorjev, naprave elektronske, naprave inteligentne, Ni-Cd akumulatorji nikelj-kadmij, Ni-MH akumulatorji nikelj-metal-hidrid, Li-lon akumulatorji litij-ion, dobe uporabnosti, kapaciteta akumulatorjev, kapaciteta akumulatorjev preostala, algoritmi polnjenja akumulatorjev, sheme blokovne, sheme vezij električnih Izvleček: Razvoj mobilnih naprav (prenosni računalniki, telefoni, dlanoniki) je danes v izrednem porastu in od vsake mobilne naprave uporabnik želi in pričakuje čim daljše samostojno delovanje. Samostojnost naprave je zagotovljena z baterijo, od katere danes zahtevamo čim manjšo težo in prostornino ter čim daljšo življenjsko dobo. Življenjska doba baterije je odvisna od dejavnikov na katere lahko vplivamo tudi sami: hranjenje in uporaba baterije, hitrost praznjenja in seveda sam polnilni proces baterije. V članku je predstavljena elektronska naprava za inteligentno preizkušanje in polnjenje Nikelj-Metal-Hidridnih, Nlkelj-Kadmijevih in Litij-lonskih baterij. Z inteligentnim nadzorom nad samim potekom polnjenja in upoštevanjem elektrokemičnih procesov dosežemo pravilno napolnjeno baterijo, ki je sposobna oddati maksimalni naboj. Prednost izdelane naprave je tudi, da lahko uporabnik v vsakem trenutku razpolaga z informacijo o deležu nazivnega naboja, ki ga je baterija še sposobna oddati. Intelligent battery tester and charger Key words; secondary cells, electric accumulators, battery testers, intelligent testers, battery chargers, electronic devices, intelligent devices, Ni-Cd batteries, Nickel-Cadmium batteries, Ni-MH batteries, Nickel-Metal Hydride batteries, Li-Ion batteries, applicability times, Lifetimes, battery capacity, residual battery capacity, battery charging algorithms, block diagrams, electric block diagrams Abstract: Development of mobile devices grows at a tremendous speed. Each such device is expected to be utmostly autonomous. Their autonomy depends on the type of the battery used. Its capacity should be considerable and its weight the smallest feasible. The battery's price being rather high, it should be operable for a long period of time and be most effective. The battery lifetime is affected by several factors, which can be more or less controlled by users. Some of the factors are ambient temperature (storage and operational), discharge speed (under normal or failure conditions) and charging procedure. Correct charging enables the battery to receive and deliver its nominal capacity longer, thus prolonging its lifetime. We have constructed a universal charger for Nickel-Metal-Hydride, Nickel-Cadmium and Lithium-Ion batteries of a different number of cells. The fact is that intelligent controlling of the charging procedure and appropriate consideration of the battery electro-chemical process ensure the battery to be correctly charged so as to deliver its maximum foreseen output. To enhance the battery lifetime, the charging and discharging rate is adapting to battery state-of-charge. Another advantage of the developed control Is in the minimisation of the usual charging time with no consequences on the length of the battery lifetime. To provide for another useful information about the battery, i.e. percentage of the capacity that it can deliver, we also designed a battery tester measuring the charge delivered by the battery under the user-defined conditions. The implemented combination of the controlled charging and discharging can also be used for damaged battery regeneration. Namely, when battery cells are only partly discharged and several times recharged, they become prone to an effect known as "memory". The article is organized in five sections. Following the Introduction, the hardware realization of the battery charger is described. Since the primary goal of our work was to construct an universal and flexible battery charger which supports different charging algorithms and different battery types, the heart of the battery charger is PIC microcontroler. PIC supervises the complete charging procedures described by user defined software and controls the remaining necessary devices such as LCD, keyboard, interface for communication, step-down DC-DC, subcircuit for measuring the voltage of the battery and subcircuit for adjusting the discharging current. Construction and operation of both subcircuits is described in detail. In section 3, the software support for LCD, keyboard, ADC and calculation the capacity of the battery is described. Techniques for minimizing errors due to the limited word length are presented. The charging algorithm is subject of Section 4. After the battery type, upper and lower bounds of charging and discharging currents, number of charging cycles are specified, the battery is discharged first. Charging procedure following next Is composed of 4 parts: soft start, fast charge, supplementing and maintenance. Charging curves for Ni-Cd, Ni-MH and LilON batteries are illustrated. The paper concludes with final remarks and directions for future work. 1 Uvod Dandanes se pojavlja vedno več mobilnih naprav za katere si želimo, da bi delovale čim dlje časa samostojno /1/. Njihovo delovanje pa temelji na baterijah. Le-te so vedno manjše, lažje in zmogljivejše, vendar njihova cena še vedno predstavlja pomemben del mobilne naprave. Zato je zelo pomembno, da baterijo kar se da dolgo ohranjamo pri polni moči. Na življenjsko dobo baterije vplivajo faktorji, na katere lahko vplivamo sami: temperatura okolice (hranjenja in uporabe), hitrost praznjenja (v primeru pravilnega delovanja naprave in morebitnem nepravilnem delovanju) ter sam postopek polnjenja baterije /2/. S pravilnim polnjenjem življenjsko dobo baterije podaljšamo, tako daje baterija dalj časa sposobna sprejemati in oddajati naziven naboj. Znan je tudi efekt slabljenja baterij zaradi česar mobilna naprava deluje čedalje manj časa samostojno. V veliki meri so za to krive cenene izvedbe polnilcev, ki ne upoštevajo različnih stanj polnjenja baterije, temveč baterijo polnijo preprosto s konstantnim tokom. Problematično je tudi zaporedno polnjenje še ne čisto izpraznjenih baterij (dopolnjevanje). Do izraza pride t.i. spominski efekt, ki je najbolj Izrazit pri Nikelj-Kad-mijevih baterijah. Baterije, ki je postala podvržena spominskemu efektu, se s cenenim polnilcem ne da več napolniti do njene nominalne kapacitete, temveč le do neke, vedno manjše vrednosti, kar ima za posledico, da se baterija veliko hitreje tudi izprazni in postopoma tudi uniči. 44 J. Dedič, A. Žemva: Inteligentni preizkuševalnik In polnilnik baterij Informacije MIDEM 32(2002)1, str. 44-49 V članku so predstavljeni sklopi elektronske naprave za polnjenje Nikelj-Metal-HIdridne, Nikelj-Kadmijeve in Litij-lon-ske baterije različnega števila celic. Z inteligentnim nadzorom nad samim potekom polnjenja in upoštevanjem ele-ktrokemičnih procesov dosežemo pravilno napolnjeno baterijo, ki je kasneje sposobna oddati maksimalen možen naboj. Dodatna prednost nadzorovanega polnjenja je tudi v trajanju celotnega polnilnega procesa. Z upoštevanjem ele-ktrokemlčnih procesov lahko baterijo napolnimo hitreje kot s klasičnimi polnilci, ne da bi s tem skrajšali življenjsko dobo baterije. Uporabnik želi imeti tudi Informacijo o kapaciteti baterije. Zato se uporabljajo merilniki kapacitete, ki nam za določeno baterijo podajo vrednost produkta l*t - kako dolgo je baterija sposobna dajati želeno vrednost toka pri pogoju, da je njena napetost nad zahtevano minimalno napetostjo. V ta namen smo polnilno vezje razširili še s preizkuševalnikom baterij, s katerim izmerimo naboj, katerega je baterija sposobna oddati pod določenimi pogoji. 2 Strojna oprema 2.3 AD pretvornik Zaradi premajhne natančnosti AD pretvornika vgrajenega v mikrokrmilnlk, smo uporabili 12 bitni serijski analogno digitalni pretvornik z diferencialnim vhodom katerega delovanje temelji na klasični sukcesivno aproksimacljski metodi (ADS7822, Burr-Brown, /5/) Arhitektura pretvornika temelji na kapaci-tivnih delilnlkih. Za pravilno delovanja pretvornika moramo zagotoviti natančno In stabilno referenčno napetost. Uporabili smo referenčno vezje LM336/10/. 2.4 Merjenje napetosti baterije Natančno želimo meriti napetost ene celice, kar pomeni, da naj AD pretvornik natančno meri napetosti do recimo 4.3V in ne do 20V. S tem posledično znižamo zahtevano število bitov AD pretvornika za 2. Na sliki 2 je prikazano vezje za celoštevilčno deljenje napetosti /13/. Za dosego natančne vrednosti želene upornosti, sta po dva upora vezana vzporedno. Za celoštevilčno deljenje napetosti naj bo upornost R2,3 (vzporedna vezava upornosti R2 In R3) enaka R1-RDSon, R4,5= Ri/2-Rdsor, Re,/= Ri/4-Roson in Rs,9= R1/8-Roson (RDSon(Bsi70)=5O). Drugi operacijski ojačevalnik ima vlogo prenapetostne zaščite vhoda AD pretvornika. Slep (iowi iai Mookiniu napajalni dol Napajahi del /a elektroniko Wn fv'trjcnje [i pr.HdnvvH^ Vmesnik m l'rcprosln I .CD koimiiikxijo lipkoMiica prikii/ovlaiiik Slika 1: Shema polnilnika Slika 2: Delilnik napetosti in prenapetostna zaščita za AD Najpomembnejši sklopi naprave, ki so povezani v celoto In tvorijo inteligentni polnilec in preizkuševalnik baterij so prikazani na sliki 1. 2.1 Mikrokrmilnik Mikrokrmilnik predstavlja jedro celotne naprave in služi za nadzor nad posameznimi sklopi. Izbrali smo PIC16F876 /6/, ustrezal pa bi tudi katerikoli drug podoben. Zahtevamo mikrokrmilnik, ki nam omogoči izvedbo vseh želenih funkcij: zadostuje že en sam timerza merjenje časa, AD pretvornik za merjenje napetosti in temperature, in strojno podprto serijsko komunikacijo pri komunikaciji s periferijo. 2.2 LCD prikazovalnik Uporabili smo standardni prikazovalnik s krmilnikom HD44780 /11/, ki skrbi za osveževanje in naslavljanje v matriko razporejenih točk. Prav tako Ima že vgrajen nabor znakov in simbolov (192) in tudi možnost kreiranja lastnih simbolov (8). Krmilniku je potrebno posredovati le parametre, kot so število znakov, število vrstic, velikost matrike enega znaka in način krmiljenja. Krmilnik HD44780 pozna le nekaj zelo preprostih ukazov. DIV3:DIV0 R2...9 IVUBAT 0000 oc 1 0001 R '/2 0010 R/2 1/3 0011 R||R/2 >4 0100 R/4 1/5 0101 R/4||R 1/6 0110 R/4||R/2 1/7 0111 R/4||R/2||R 1/8 1000 R/8 1/9 1001 R/8||R 1/10 1010 R/8||R/2 1/11 1011 R/8||R/2||R 1/12 1100 R/8||R/4 1/13 1101 R/8||R/4||R 1/14 1110 R/8||R/4||R/2 1/15 1111 R/8||R/4||R/2||R 1/16 Tabela 1: Vrednost vzporedno vezanih uporov (R2...R9) in napetost na vhodu operacijskega ojačevalnika glede na posamezne krmilne bite (DIV3...DIV0) Preko dodanega tranzistorja mikrokrmilnik dobi informacijo o preveliki napetosti na vhodu AD pretvornika. Tabela 1 prikazuje razmerje napetosti na vhodu operacijskega ojačeval- 45 Informacije MIDEM 32(2002)1, str. 44-49 J. Dedič, A. Žemva: Inteligentni preizkuševalnik in polnilec baterij nika proti celotni napetosti baterije (U+/Ubat) v odvisnosti od krmilnih bitov DIV3...DIV0. 2.5 Inteligentni polnilnik Na tržišču je velika izbira integriranih vezij za polnjenje baterij /7/. Vezja sama krmilijo celoten postopek polnjenja, nastaviti je potrebno le ustrezen tip baterije, maksimalni polnilni tok in prilagoditi napetost baterije na primeren nivo. Slabost teh vezij je, da imajo polnilne algoritme že vgrajene in s tem podporo samo izbranim vrstam baterij. V našem primeru smo polnilni algoritem Izvedli programsko z mikrokrmilnikom. Univerzalnost pomnilnika smo dosegli s programsko prilagodljivostjo polnilnika za širši nabor baterij. Realizacija z mikrokrmilnikom ima tudi prednost, da lahko za vse tipe baterij uporabimo eno samo topologijo vezja. 2.6 Vmesnik za komunikacijo z osebnim računalnikom S pomočjo osebnega računalnika lahko predstavimo potek polnjenja v obliki časovnega diagrama. Iz časovnega poteka napetosti In toka dobimo informacije o stanju baterije, poleg tega pa je časovni diagram koristen v fazi razvoja elektronske naprave. Najbolj preprosto in ceneno je, če podatke prenašamo serijsko. Podatke lahko shranimo tudi na kakšen drug medij iz katerega lahko kasneje podatke prenesemo na osebni računalnik. 2.7 Nastavitev praznilnega toka baterije Tok naj bo nastavljiv v območju od 10OmA do nekaj amperov z vmesnimi koraki. Praznilni tok baterije naj ne bi presegel toka, ki bi baterijo izpraznil v eni uri (številsko enako kapaciteti izraženi v Ah). Vnaprej predpisano toleranco nastavljanja tokov lahko naredimo zelo ohlapno, če zahtevamo pred prvo uporabo kalibracijo tokov. Z izbranimi elementi nastavimo samo približne vrednosti tokov, ki jih ob kalibraciji natančno izmerimo z inštrumentom in trajno shranimo v EEPROM. Tako lahko uporabimo nenatančen DA pretvornik in upore Iz 10% lestvice. Edina zahteva je, da je tok, ki ga nastavimo in shranimo, konstanten v celotnem obsegu napetosti. Mikrokrmil-nik bo kasneje pri vseh preračunavanjih upošteval shranjene vrednosti tokov. DA pretvorbo smo realizirali s tri bitnim lestvičnim R-2R DA pretvornikom. Pretvornik ima konstantno notranjo upornost pri vseh kombinacijah, zato lahko z digitalnimi krmilnimi linijami (0, Vcc) enostavno dosežemo izhodne napetosti enakih korakov. Napetostno tokovno pretvorbo smo izvedli z napetostnim sledilnikom. Na sliki 3 je prikazana celotna vezava s pomočjo katere lahko digitalno nastavljamo praznilni tok. S tremi biti mikrokrmilnik nastavi napetost, ki jo s pomočjo napetostnega sledilnika primerjamo na močnostnem uporu. Temu uporu lahko vzporedno vežemo še dodaten upor s čimer dobimo možnost nastavljanja šestnajstih praznllnlh tokov. 2.8 Nastavitev polnilnega toka Nastavitev polnilnega toka poteka po podobnem principu, le daje tu sama nastavitev lahko še bolj nenatančna, saj pri polnjenju baterije zahtevamo samo približno vrednost toka Vrednosti polnilnih tokov se gibljejo v razredu od 100mA naprej. Tehnike impulznega polnjena predvidevajo polnjenje stokom, kije lahko nekajkratnik kapacitete baterije izražene v Ah. Vrednost polnilnega toka določimo z Izbiro polnilnih časov in kapacitet baterij. 2.9 Tipkovnica Tipkovnica je lahko zelo preprosta, štiri tipke že povsem zadostujejo. Njihovo namembnost lahko naredimo zelo logično, če tipke namestimo poleg LCD prikazovalnika in na njemu prikazujemo trenuten pomen posamezne tipke. Samo priključitev na mikrokrmilnik lahko izvedemo tudi multipleksirano in s tem prihranimo nekaj vhodno Izhodnih priključkov. 2.10 Merjenje temperature baterije Algoritem za inteligentno polnjenja zahteva, da poznamo tudi temperaturo baterije. Ker pri tem nI potrebna velika natančnost zadostuje že, če na baterijo pritrdimo termlstor (zagotoviti je potreben čim boljši termični stik) in opazujemo njegovo upornost, kar lahko praktično prevedemo na merjenje napetosti napetostnega delilnika. 2.11 Kalibracija praznilnih tokov Vrednosti tokov, ki jih strojno nastavimo samo približno, je potrebno pred prvo uporabo vnesti v EEPROM mikrokrmil-nika, da ni potrebna kalibracija ob vsakem zagonu. Kalibracijo izvršimo s pomočjo amper-metra in napajalnika tako, da programsko nastavljamo različne, vnaprej samo približno znane vrednosti praznilnih tokov ter nato izmerjene vrednosti vpišemo v mikrokrmilnik. Vsa nadaljnja izračunavanja, ki temeljijo na poznavanju natančne vrednosti praznilnega toka, so tako kljub približni začetni strojni nastavitvi dovolj natančna za izračun kapacitete baterije. 3 Programska oprema V tem delu so opisani sklopi katere je bilo potrebno implementirati programsko. 3.1 Kalibracija praznilnih tokov Vrednosti tokov, ki jih strojno nastavimo samo približno, je potrebno pred prvo uporabo vnesti v mikrokrmilnik, kjer so 46 J. Dedič, A. Žemva: Inteligentni preizkuševalnik In polnilnik baterij Informacije MIDEM 32(2002)1, str. 44-49 vrednosti trajno shranjene. Najprimernejši je EEPROM pomnilnik v mikrokrmilniku. Kalibracljo izvršimo s pomočjo amper-metra in napajalnika tako, da programsko nastavljamo različne, vnaprej samo približno znane vrednosti praznil-nih tokov, odčitamo točno vrednost toka in to vrednost vpišemo v mikrokrmilnik. Vsa nadaljnja izračunavanja, ki temeljijo na poznavanju natančne vrednosti praznilnega toka, so tako kljub približni začetni strojni nastavitvi dovolj točna, da lahko dovolj natančno ocenimo kapaciteto baterije. Samo numerično predstavitev v mikrokrmilniku moramo izbrati tako, da zadosti želeni natančnosti - uporabili smo 16-bitni zapis v »fixed-point« formatu in stem pokrili tokove razreda 100 mA do nekaj A. 3.2 LCD prikazovalnik Na tem mestu bi izpostavili problematiko izpisa števil. Uporabili smo C prevajalnik, ki ima vgrajeno printf funkcijo tudi že za najpreprostejše mikrokrmllnike. Realizacija te funkcije zasede zelo veliko programskega In podatkovnega pomnilnika, zato je v večini primerov uporaba te funkcije pri manjših mikrokrmilnikih neracionalna. Za izpis števil smo uporabili princip strojnega prekodiranja binarnega zapisa v BCD zapis /14/. S tem smo prihranili pri porabi podatkovnega pomnilnika in pohitrili čas pretvorbe je bil veliko manjši. Postopek prekodiranja je prikazan na sliki 4. Uporabljeni funkcijski bloki s štirimi vhodi in štirimi izhodi delujejo po naslednjem pravilu: če je vhodna koda večja od 5 prištejemo kodi +3, če pa je vhodna koda manjša ali enaka 5, kodo enostavno prenesemo. Programsko pretvorbo realiziramo s pomočjo pomikalnega registra, kjer v vsakem koraku dodamo en bit In preverimo četverčke. 3.3 Tipkovnica Kadar je tipkovnica omogočena je potrebno stanje tipk pregledovati nekajkrat na sekundo, ob tem pa je potrebno programsko rešiti t.i. odskakovanje kontaktov. Smiselno je tudi prepoznati različno dolge pritiske tipk, kar je zelo uporabno pri nastavljanju števil. Kratek pritisk tako pomeni premik za +/-1, dolg pritisk pa omogoča hitro spreminjanje vrednost v + ali -. ■by 0 0 T i i BCD BCDi: BCD: 3.4 AD pretvornik Ob vsakokratni izvedbi prekinitvene rutine moramo delček časa nameniti tudi za prebiranje podatka iz AD pretvornika in njegovo pretvorbo v uporabno vrednost. Na tem mestu bomo s primerom opozorili na problem, ki ga lahko elegantno rešimo med načrtovanjem vezja. Želimo meriti največjo napetost 20V z 12 bitnim AD pretvornikom (vrednost odčitkov od 0 do 212-1), ki ima referenčno vrednost 3V, rezultat sam pa želimo predstaviti kot 100x preveliko celo vrednost. Med samim načrtovanjem bomo torej postavili takšen napetostni delilnik, ki nam bo 25V znižal na 3V, kasneje pa bomo odčitano binarno vrednost Iz AD pretvornika pomnožili z 20/(212-1 )*100 = 0.4884. Problem nastane, ko se lotimo samega izračunavanja - prvi problem je že binarni zapis same konstante, kasneje pa se srečamo še z omejeno aritmetiko cenenih mikrokrmilnlkov. Z manjšim premislekom se lahko problema lotimo drugače: če postavimo največjo napetost 20.48V in jo z uporovnim delilnikom zmanjšamo na 3V, potem odčitano vrednost iz AD pretvornika množimo s faktorjem 0.5, kar enostavno rešimo s premikom za en bit v desno. Enostavno lahko izvajamo tudi množenja z drugimi konstantami, recimo 0.625 - najprej množenje z " in nato še z 1 /8 nato samo še seštejemo. Tak pristop močno olajša preračunavanje odčltanih vrednosti, a povsem izniči numerično napako. 3.5 Kapaciteta baterije Pri izračunavanju kapacitete se ponovno srečamo s problemom numerične napake in binarne predstavitve števil. Naboj izračunamo po naslednji enačbi: Q(t)=]i(t)dt (1) Pri konkretni izvedbi z mikrokrmilnikom, pa je čas diskreten - prekinitvena rutina se izvaja na 5 ms. Ena Izmed metod za izločitev numerične napake in ohranitve preprostega izračunavanja je naslednja. Posamezen praznilni tok smo shranili kot 104x preveliko celo vrednost. Naj bo najmanjša vrednost, ki jo želimo meriti, 1 mAh. Veljajo naslednje enačbe: / • i = 0. ImAh = 360mAs J^I-t = 360mAs 360mAs 5 ms S/=72A 2>104 =72-104 (2) (3) (4) (5) (6) Slika 4: Shematski prikaz pretvorbe binarne kode vBCD kodo Numerični napaki se popolnoma izognemo, če uvedemo neko spremenljivko, kateri med vsako prekinitveno rutino prištejemo vrednost praznilnega toka, ki je baterijo praznil od prejšnje prekinitve. To spremenljivko nato preverimo, če je že dosegla določeno vrednost (72*104); če je, potem je 47 Informacije MIDEM 32(2002)1, str. 44-49 J. Dedič, A. Žemva: Inteligentni preizkuševalnik In polnilec baterij baterija oddala naboj 1 mAh. Vso množenje se tako prevede ne preprosto seštevanje. 4 Polnilni algoritem Polnilni algoritem, katerega smo realizirali programsko z mikrokrmilnikom, je prikazan na sliki 5. Slika 5: Polnilni algoritem 4.1 Vnos podatkov Ob vklopu polnilnika ali pa ob zamenjavi baterije mora uporabnik nastaviti tip baterije, število celic, število polnjenj, število praznjenj, maksimalni polnilni tok ter maksimalni in minimalni praznilni tok. Uporabnik lahko izbira med pripravljenimi podprtimi tipi baterij. Avtodetekcijaje mogoča samo v primeru t.i. inteligentnih baterij, ki kar preko l2C vodila komunicirajo s polnilno enoto In sporočijo vse potrebno za identifikacijo. Takšni tipi baterij se uporabljajo npr. v novejših mobilnih telefonih. Ko je tip baterije določen, je število celic s trenutno izmerjeno napetostjo baterije navzdol že omejeno, navzgor pa je število celic omejeno z največjo možno polnilno napetostjo. 4.2 Praznjenje baterije Pred vsakim polnjenjem je baterijo najbolje izprazniti do določene napetosti, saj ima dopolnjevanje nezaželene stranske učinke in baterije s časom ne moremo več napolniti do njene polne kapacitete. Za samo praznjenje je potrebno določiti največji tok, s katerim se baterijo lahko prazni. Priporoča pa se vrednost, ki baterijo izprazni veni url. Baterijo pričnemo prazniti z največjim dovoljenim tokom in ko doseže napetost na celico določenega tipa baterije minimalno, predhodno določeno vrednost, se vrednost praznilnega toka zmanjša. Zaradi notranje upornosti baterije se napetost na sponkah baterije poveča in baterijo se zopet prazni do navzdol omejene napetosti. Ta postopek se ponavlja dokler praznilni tok ne doseže minimalnega predhodno določenega toka. Minimalni tok naj smiselno okarakterizira baterijo, saj premajhna vrednost praznilnega toka k deležu celotne kapacitete ne doprinese upoštevanja vreden delež. Prav tako na določitev maksimalnega in minimalnega praznilnega toka vpliva sama uporaba baterije v konkretni aplikaciji. Tok naj bo v razredu praznjenja baterije med normalnim delovanjem. Temperatura baterije mora biti nadzorovana, kajti v primeru prekomernega praznjenja temperatura baterije narašča, s čimer lahko baterijo tudi uničimo. 4.3 Polnjenje baterije Posamezne dele polnilnega procesa razdelimo v mehko začetno polnjenje, hitro polnjenje, dopolnjevanje in vzdrževanje. Polnjenje se prekine, če ena izmed naštetih vrednosti doseže mejno vrednost: Negativni napetostni naklon (-AV) - najbolj pogost indikator stanja polne baterije v normalnih pogojih Sprememba temperature / sprememba časa (AT/At) - Iz tega podatka lahko tudi določimo elektrokemično stanje baterije. Maksimalna temperatura baterije - služi predvsem za zavarovanje baterije in se ne pojavlja v normalnih pogojih delovanja. Maksimalen čas - glede na polnilni tok lahko vnaprej predvidimo približen čas polnjenja, temu dodamo še nekaj rezerve; če ta čas presežemo je prišlo do napake. Maksimalna napetost na celico - zelo pomembno je, da napetost celice ne preseže določenih maksimalnih vrednosti (NiMH in NiCd =1.85Vter LilON=4.1 oz. 4.2V) Mehak začetek Polnjenje se prične, če vse vrednosti, ki jih opazujemo, ustrezajo pogojem varnega polnjenja. Povprečna vrednost polnilnega toka je manjši delež maksimalnega toka. V naslednjo fazo polnjenja preidemo po nekaj minutah. Hitro polnjenje Baterijo se polni s povprečnim tokom, ki doseže predhodno nastavljeno maksimalno polnilno vrednost. Ves čas se preverja opazovane vrednosti za določitev konca faze hitrega polnjenja aH za prekinitev zaradi napake. Npr. tipičen indikator konca hitre polnilne faze za NiMH baterije je padec napetostni na celico za 17mV in za NiCd 50mV. Dopolnjevanje To fazo si lahko predstavljamo kot počasno in previdno dopolnjevanje do vrha (analogno počasnemu dopolnjevanju avtomobilskega tanka na bencinski črpalki potem, ko avtomat izklopi in do vrha lahko natočimo samo počasi s posameznimi dollvi). LilON baterije se v tej fazi polni s konstantno napetostjo dokler je tok še nad neko minimalno vrednostjo. Vzdrževanje Ta faza polnjenja je opcijska in predstavlja polnjenje baterije z manjšim delom nastavljenega polnilnega toka, ki nadomesti samoizpraznitev baterije, če le ta ostane priključena v polnilcu dalj časa. Temu so posebno podvržene Pb baterije, medtem ko LilON baterije praktično ne izkazujejo tega problema. 48 J. Dedič, A. Žemva: Inteligentni preizkuševalnik In polnilnik baterij Informacije MIDEM 32(2002)1, str. 44-49 Polnilne krivulje za posamezen tip baterij so prikazane na slikah: 6, 7 in 8. Napetost Čas / I I Praznjenje Mehak Hitro Dopolnjevanje Vzdrževanje začetek polnjenje Slika 6: Polnilna krivulja za Ni-Cd baterije Napetost 1— Čas / ! 1 VI Praznjenje Mehak Hitro Dopolnjevanje Vzdrževanje začetek polnjenje Slika 7: Polnilna krivulja za Ni-MH baterije Napetost -Jok i» f i ml............ Čas Kvalifikacija Hitro polnjenje Hitro polnjenje Vzdrževanje konst. tok konst. napetost Izpostavili smo probleme, ki nastanejo pri izdelavi vezja in opisali potrebno programsko podporo. Pri posameznem problemu je bila izpostavljena samo ena izmed možnih rešitev ali pa se bile nakazane smernice nadaljnjega raziskovanja. 6 Literatura /1/ Battery-Driven Dynamic Power Management of portable Systems, L. Benini, G. Castelll, A. Macll, E. Macil and R. Scarsi, Proc. of the 13th Int. Symposium on System Synthesis (ISSS'OO), str. 215-222. /2/ Batteries for low power electronics, Powers, R.A., IEEE, April 1995, Volume 83, No. 4, str. 687-693. /3/ Batteries in a portable world (Isldor Buchmann) http://www.buchmann.ca/ /4/ A better battery charger (Thomas Richter) http://www.circuitcellar.com / http://www.chipcenter.com/clrcuitcellar/octoberOO/ c1000tr1.htm http://www.chlpcenter.com/clrcuitcellar/novemberOO/ c1100tr1.htm /5/ Burr-Brown, ADS7822, 12-bit High Speed 2.7V microPower Sampling ANALOG-TO-DIGITAL CONVERTER (data sheet) /6/ Microchip, PIC16F87X, 28/40-pin 8-Bit CMOS FLASH Microcontrollers (data sheet) /7/ National Semiconductor, LM3647, Universal Battery Charger for Li-Ion, NIMH and Nl-Cd Batteries (data sheet) /8/ National Semiconductor, LM3647, Reference Design User's Manual (data sheet) /9/ National Semiconductor, LM3647, Configuration Guide for LM3647 Reference Design (data sheet) /10/ Texas Instruments: LM236-2.5, LM336-2.5, LM336B-2.5 2.5V Integrated Reference Circuits (data sheet) /11/ Revija Svet elektronike (št. 6 in 7): Delo z LCD displeji /12/ Revija Svet elektronike (št. 15, 16, 17, 19 In 21): PIC mlkrokon-trolerji - osnove /13/ J. Michael Jacob, Analog integrated Circuit Applications, Prentice Hall - Digital Control of Analog Functions, Single Supply Amplifiers /14/ L. Pipan, Digitalne strukture, Založba FE, 1992. Slika 8: Polnilna krivulja za LilON baterije Zaključek Dr. Jože Dedič, Dr. Andrej Žemva Fakulteta za elektrotehniko, Univerza v Ljubljani Tržaška 25, 1000 Ljubljana Splošni trendi zahtevajo čedalje smotrnejšo izrabo baterij, zato je čedalje pomembneje pravilno polnjenje baterij. To dokazuje tudi podatek o znatnem porastu deleža elektronike, ki se ukvarja izključno z baterijami. V članku smo predstavili elektronsko napravo za preizkušanje in polnjenje baterij. Prispelo (Arrived): 14.1.2002 Sprejeto (Accepted): 26.2.2002 49 Informacije MIDEM 31(2001)4, Ljubljana UDK621,3:(53+54+621 +66), ISSN0352-9045 MIKROKRMILNIŠKI SISTEM ZA SAMODEJNO USMERJANJE MIKROVALOVNIH ANTEN Boris RATEJ, Amor CHOWDHURY, Rajko SVEČKO Fakulteta za elektrotehniko, računalništvo in informatiko, Univerza v Mariboru, Slovenia Ključne besede: komunikacije mikrovalovne, antene mikrovalovne, pozicioniranje precizno, usmerjanje anten samodejno, povezave mikrovalovne, mikrokrmil-niki, sistemi mikrokrmilniški, GPS sprejemniki sistema pozicioniranja globalnega, GSM modemi sistema komunikacij mobilnih globalnih, kompasi elektronski, navigacija elektronska, RTC ura časa realnega Izvleček: Članek predstavlja razvoj naprave za samodejno vzpostavitve telekomunikacijske povezave med mobilnim novinarskim mestom na terenu in stacionarnimi novinarskimi centri. Naprava omogoča avtomatično vzpostavitev mikrovalovne povezave v smislu avtomatičnega usmerjanja mikrovalovne antene. Sistem omogoča tudi vzpostavitev GSM povezave za primere, ko vzpostavitev mikrovalovne povezave ni mogoča. Microcontroller system for self- positioning of microwave antennas Keywords: microwave communications, microwave antennas, precision positioning, antenna self-positioning, microwave links, microcontrollers, microcontroller systems, GPS receivers, Global Positioning System receivers, GSM modems, Global System for Mobile communications modems, electronic compasses, electronic navigation, RTC, Real Time Clock Abstract: Reporting life, outside of the studio from the scene of events is a daily brad for many radio reporters. The teams for outside studio reporting are usually equipped with special vehicles and adequate technical equipment. Reporting outside studio takes a longer time, then from the viewpoint of the costs, the most rational telecommunication connection between reporter and radio center is thru the own microwave link. To restore the microwaves link a lot of experience and handwork of the technician is needed, beside that this operation is time consuming and many times unsure. Because of all this the idea for automation of this procedure was brought out. The paper describes the synthesis and development of adequate hardware and software for automatic microwave link connection between mobile reporter vehicle and the radio center. The whole device is build from five components (microcontroller system, communication-navigation unit, device driver unit, power supply unit, and user interface) as shown on figure 1. The core of the device is microcontroller system with the SIMENSSAB 80C535 microcontroller, which provides all the needed intelligence. The communication-navigation unit is compound from the GSM modem with integrated GPS navigation system and electronic compass VECTOR 2XG. GPS is the source for the vehicle position data, which is not enough for automatic microwave link establishment. Because of that the electronic compass is added. The GSM modem has also a role of communication backup in case when microwave link is not possible to restore. Another Important unit is user interface, which is enabling a manipulation whit the device. The device has three important operation modes, which are self-testing, microwave link and GSM link mode. Each operation mode is described whit the state-diagrams as shown on the figures 2,3 and 4. By the software design a special care was taken on device reliability. So with the goal to geta reliable device, the algorithms and software for self-testing and error discovering have been developed and Implemented. Finally, the functionality value of the device has been proven with the laboratory tests (figures 5 and 6). 1. Uvod Delo radijskega novinarja je terensko in v velikem številu primerov je za poročanje iz kraja dogodka potrebna neposredna povezava med novinarskim centrom in novinarjem na terenu. Novinarske ekipe so zadelo na terenu opremljene s posebno prirejenimi in ustrezno tehnično opremljenimi avtomobili. Minimalno novinarsko ekipo na terenu sestavljata tehnik in novinar. Naloga tehnika je, da za neposredna poročanja iz mesta dogodka zagotovi z novinarskim centrom ustrezno telekomunikacijsko povezavo. Kadar poteka poročanje s terena dlje časa, je s stališča racionalizacije stroškov, najprimerneje vzpostaviti z novinarskim centrom lastno mikrovalovno povezavo. Za vzpostavitev povezave mora tehnik poznati pozicijo vozila glede na novinarski center ter oceniti smer v katero je potrebno usmeriti mikrovalovno anteno. S tehnikom v novinarskem centru mora uskladiti smeri anten in preveriti kvaliteto mikrovalovne povezave. Postopek vzpostavljanja mikrovalovne zveze zahteva precej izkušenj tehnika in dobro koordinacijo z novinarskim centrom. Da bi bilo delo tehnika na terenu lažje oziroma, da bi v določenih primerih na teren lahko odšel novinar brez tehnika, se je pokazala potreba po avtomatizaciji vzpostavitve telekomunikacijske povezave z novinarskim centrom. S tem namenom je bil razvit sistem oziroma naprava, ki slednje omogoča. Razvoj naprave je zajemal dva nivoja in sicer razvoj in integracijo strojne opreme ter razvoj programske aplikacije namenjene funkcionalnemu delovanju naprave. 2. Opis naprave Naprava za avtomatizacijo usmerjanja mikrovalovne zveze mora za izvajanje omenjene funkcionalnosti imeti podatke o 50 B. Ratej, A. Chowdhury, R. Svečko: Mikrokrmilniški sistem za samodejno usmerjanje mikrovalovnih anten Informacije MIDEM 32(2002)1, str. 50-56 Uporabniški vmesnik O J *3 13 DEKODER G AL 16V8 JI Crafioni IX I) 128x64 točk tU ENTER TimeKeeper RAM_8kB_ RTC T^I EPROM 32kB GSM-GPS kombinirana antena I 2 3 4 5 i, - « , CEI® Iz + + * ^ > > > iS o v> i-i o AC 220V u w u Q O < NAPAJALNIK o VHODNO/IZHODNA VRATA II S o a Z o" ' Se >o 0 -J SIEMENS oo p Í «S» o > o SAB 80C535 KRMILNI SIGNALI RS 232 VHODNO/IZHODNA VRATA JF iT FALCOM A2D GPS Elektronski KOMPAS VECTOR 2XG RELEJSKO krmilno vezje Navigacijsko-komunikacijska enota Krmilna elektronika Slika 1: Blokovna shema naprave za samodejno usmerjanje mikrovalovne antene mobilnega novinarskega mesta geografski poziciji mobilnega novinarskega mesta in izhodiščni usmerjenosti antene. Prav tako mora omogočati upravljanje s strani uporabnika, krmiljenje zunanjih naprav in možnost vzpostavitve redundantne telekomunikacijske povezave. Naprava za avtomatizacijo telekomunikacijske povezave je tako razdeljena na pet med seboj povezanih zaključenih enot (Slikal): mikrokrmilniška enota, uporabniški vmesnik, navigacijsko-komunikacijska enota, krmilna elektronika in napajalnik. 2.1. Mikrokrmilniška enota Jedro mikrokrmilnlškega sistema je mikrokrmilnlk SIEMENS SAB 80C535 iz zelo razširjene družine mikrokrmilnikov 8051 /1/. Mikrokrmilniku je dodan RAM pomnilnik velikosti 8K zlogov, EPROM pomnilnik velikosti 32K zlogov, zadrževalnik naslovov in naslovno dekodlmo vezje (Slika 1). Vgrajeni RAM pomnilnik je TimeKeeper RAM M48T08 proizvajalca SGS Thomson katerega posebnost predstavlja vgrajena ura realnega časa (RTC). Zaradi vgrajene ure realnega časa Ima pomnilnik tudi svoje lastno baterijsko napajanje kar mu omogoča, da določene parametre tudi trajno shranjujemo in za to ne potrebujemo dodatnega EEPROM pomnilnika kot je to pri takšnih sistemih običaj. Vgrajena ura realnega časa omogoča v vsakem trenutku prikaz trenutnega časa (ure, minute, sekunde), datuma (dan, mesec, leto) in dneva v tednu (ponedeljek, torek, ... , nedelja). EPROM pomnilnik vsebuje aplikacijsko kodo, kodne tabele znakov LCD prikazovalnika in bitne slike. Vezava EPROM in RAM pomnilnika je VonNeuman-ova in omogoča enostavno razvijanje aplikacij in razhroščevanje v monitorskem načinu delovanja pod Kell-ovlm razvojnim okoljem uVision /6/. Zadrževalnik naslovov je potreben za zadrževanje naslova multipleksiranega naslovno-podatkovnega vodila. Naslovno dekodirno vezje skrbi za pravilno selektiranje EPROM in RAM pomnilnika, kakor tudi perifernih naprav, ki se nahajajo v podatkovnem naslovnem prostoru mikrokrmil-nika (LCD prikazovalnik). 2.2. Uporabniški vmesnik Uporabniški vmesnik sestavljajo: grafični LCD prikazovalnik resolucije 128x64 točk z vgrajeno LED osvetlitvijo, številčna tipkovnica dvanajstih tipk (matrična tipkovnica 3x4), 51 Informacije MIDEM 32(2002)1, str. 50-56 B. Ratej, A. Chowdhury, R. Svečko: Mikrokrmilnlški sistem za samodejno usmerjanje mikrovalovnih anten funkcijske tipke (t, ENTER) in piskač. Registri in pomnilnik LCD prikazovalnika se nahajata v podatkovnem naslovnem prostoru mikrokrmilnika, s čimer je prihranjenih nekaj linij vhodno/izhodnih vrat. Pri takšni vrsti priključitve nastajajo problemi predvsem pri zagotavljanju časovnih parametrov bralno/pisalnih ciklov LCD prikazovalnika. Številčna tipkovnica in funkcijske tipke so priključene na vhodno/izhodna vrata mikrokrmilnika in skupaj predstavljajo matrično tipkovnico 4x4-tipke (3x4+3, ena tipka je "slepa tipka"=>ni vgrajena). Uporabniški vmesnik preko LCD prikazovalnika In vgrajene številčne in funkcijske tipkovnice omogoča nadzorovanje in upravljanje naprave. V sklopu uporabniškega vmesnika se nahaja tudi piskač, ki je namenjen signalizaciji in poudarjanju pomembnejših stanj sistema. 2.3. Navigacijsko-komunikacijska enota Navigacijsko-komunikacljsko enoto sestavlja GSM modem z GPS sprejemnikom FALCOM A2D GPS /2//3/ in elektronski kompas VECTOR 2XG /5/. FALCOM A2D GPS je na mikrokrmilniško enoto priključen preko serijskih vrat RS232. Za sprejem RF signala GSM omrežja in GPS navigacijskega sistema skrbi kombinirana GSM-GPS antena z vgrajenim LNA ojačevalnikom GPS signala. Upravljanje in nadzor GSM modema kakor tudi GPS sprejemnika v FALCOM A2D GPS se Izvaja z naborom AT-ukazov /4/. Elektronski kompas je na mikrokrmilniško enoto priključen preko vhodno/izhodnih vrat mikrokrmilnika. Podatkovna komunikacija poteka po serijskem protokolu, pri čemer urin signal serijske komunikacije generira mikrokrmilnik. GSM modem omogoča komunikacijo z novinarskim centrom v primeru, ko mikrovalovne povezave do centra ni mogoče vzpostaviti. Analogni govorni signal iz mešalne mize se v tem primeru preusmeri iz oddajnika mikrovalovne povezave na analogni vhod in izhod GSM modema. GPS sprejemnik omogoča določitev pozicije mobilnega novinarskega centra in zapis v GPGLL formatu, ki vsebuje tudi podatek o veljavnosti sprejete GPS pozicije (veljavnost je odvisna od števila satelitov, ki jih sprejemnik sprejema in od kvalitete sprejetega signala). Elek-tronski kompas je na avtomobil mobilnega novinarskega mesta nameščen tako, da v vsakem trenutku kaže v smeri vožnje avtomobila In beleži kot odmika smeri vozila glede na smer severnega pola. Elektronski kompas VECTOR 2XG omogoča kompenzacijo nagiba vozila v obsegu + 15°. Kompenzacijo nagiba v večjem obsegu lahko preprosto dosežemo tudi z namestitvijo elektronskega kompasa v ustrezno gibajoče plastično ohišje nameščeno na strehi avtomobila. Ohišje elektronskega kompasa mora biti vpeto tako, da omogoča nihanje v dveh smereh=>smeri vožnje in bočni smeri (npr. namestitev na viseči kardanski zglob). S poznavanjem koordinat mikrovalovnega sprejemnika nameščenega na fiksni lokaciji (Pohorje, RTV center Maribor), lokacije avtomobila mobilnega novinarskega mesta in smeri v kateri je avtomobil ustavljen (kot, ki ga posreduje kompas), lahko izračunamo kot zasuka rotarija, ki je nameščen na antenskem drogu in omogoča obračanje antene za mikrovalovno povezavo. Rotarlj YAESU G-450C vsebuje VIPOT potenciometer s katerim določamo zasuk antene Iz izhodiščnega stanja. Izhodiščno stanje predstavlja antena parkirana na antenski nosilec, ki je nameščen tako, daje antena v izhodiščnem-mirovnem stanju vedno usmerjena v smeri gibanja avtomobila (kot rotarija oz. antene je v tem stanju 0°). 2.4. Krmilna elektronika Krmilno elektroniko sestavljajo krmilni tranzistorji in krmilni releji, ki so namenjeni upravljanju rotarija in motorja za dvig in spust stebra. Krmilna elektronika sprejema dva vhodna signala zunanjih naprav, to sta signala končnih stikal, ki signalizirata kdaj je steber dvignjen oziroma spuščen. Pozicijo oz. kot zasuka rotarija detektiramo z zajemanjem analognega signala VIPOT potenciometra, ki je nameščen v rotariju. Med krmilno elektroniko spadajo tudi releji, ki Izvedejo preklop med ročnim upravljanjem rotarija in avtomatskim upravljanjem. Ob vklopu naprave se preklopijo povezave, ki potekajo med napravo za ročno upravljanje in navitjem motorja rotarija in povezave, ki potekajo med napravo za ročno upravljanje in VIPOT potenciometrom rotarija. Naprava za ročno upravljanje je element sistema YAESU G-450C in uporabniku omogoča obračanje rotarija z dvema tipkama (levo, desno) ter prikaz kota zasuka rotarija s krožnim kazalcem. 2.5. Napajalnik Napajanje posameznih enot naprave je izvedeno s stikalnim napajalnikom izhodne enosmerne napetost +5Vin +12V. Z enosmerno napetostjo +5V se napaja mikrokrmilniška enota, uporabniški vmesnik, elektronski kompas navigacijsko-komunikacijske enote In VIPOT potenciometer rotarija. Z enosmerno napetostjo + 12V pa se napaja krmilna elektronika in modem FALCOM A2D GPS. Izmenično napajanje napetosti 30Vje uporabljeno za krmiljenje rotarija preko relejev krmilne elektronike. 3. Delovanje naprave Krmiljenje rotarija YAESU G-450C in stolpa lahko poteka popolnoma ročno ali avtomatično s sistemom avtomatske vzpostavitve telekomunikacijske povezave (SAVTP). Ročno upravljanje je redundanca, ki je lahko zelo uporabna v Izjemnih primerih, ko bi sistem SAVTP zatajil. Ob vklopu sistema SAVTP se izvede prevzem upravljanja in nadzora zunanjih naprav (rotarlj, motor za dvig in spust stebra, zajemanje kota rotarija). Zaradi zanesljivosti delovanja posameznih enot sistema SAVTP se najprej izvede samopreverjanje sistema. Morebitne napake, ki se pojavijo v procesu samopreverjan-ja delimo na štiri razrede. Napake razreda #0 so opozorilne napake, zaradi katerih je lahko samo začasno onemogočeno delovanje celotnega sistema SAVTP (npr. rotarij nI v izhodiščnem položaju, zajem GPS pozicije ni potrjen, poteka registracija na GSM omrežje, GSM modem išče omrežje) oz. je v danem tre- 52 B. Ratej, A. Chowdhury, R. Svečko: Mikrokrmilniški sistem za samodejno usmerjanje mikrovalovnih anten Informacije MIDEM 32(2002)1, str. 50-56 nutku trajno onemogočeno delovanje le enega dela sistema (npr. kot rotarija ni v območju 0 do 359 stopinj=> onemogočen nadzor vrtenja rotarija, kot kompasa ni v območju 0 do 359 stopinj=»onemogočena avtomatska nastavitev mikrovalovne zveze, premajhna moč GSM signala ali prevelik BER GSM signala=>onemogočeno povezovanje preko GSM omrežja). Napake razreda #1 so napake, ki jih lahko sistem sam odpravi (npr. GSM modem je pripravljen vendar trenutno izvaja klicanje, GSM modem je pripravljen vendar trenutno zvoni, GSM modem je v "sleep" načinu, nepravilen format podajanja GPS pozicije). Do teh napak lahko pride pri nepredvidenem resetiranju FALCOM A2D GPS ali pri zamenjavi same enote FALCOMA2D GPS Napake razreda #2 zahtevajo posredovanje operaterja za vpis začetnih varovanih podatkov v sistem (npr. PIN koda, PUKkoda). Napake razreda #3 so tiste napake, ki jih niti sistem niti operater na kraju samem ne more odpraviti ampak je potreben poseg servisnega centra (npr. napaka na RS232 povezavi, odzivi GSM modema niso pravilni, LCD prikazovalnik ne deluje pravilno). Slika 2: Diagram stanj za proces samopreverjanja Sistem takoj ob vklopu začne delovati v stanju "Samoprever-janje", kjer se izvede testiranje posameznih enot sistema SAVTP (Slika 2). V primeru, da v procesu smopreverjanja sistem odkrije napako se cikel smopreverjanja ponovi še petkrat in če je tudi po petem ciklu samopreverjanja napaka še prisotna, se izvedejo ustrezne akcije. V primeru, daje napaka iz: 1. razreda #0; se izpiše le opozorilo o napaki in sistem nadaljuje v stanje "Izbira načina komunikacije". 2. razreda #1; se izvede prehod sistema v stanje "Sa-moodpravljanje", kjer poskuša sistem napako odpraviti. Če se napaka odpravi, preide sistem nazaj v stanje "Samopreverjanje", drugače sledi prehod sistema v stanje "Posredovanje operaterja". 3. razreda #2; se izvede prehod sistema v stanje "Posredovanje operaterja". Vtem stanju je omogočeno testiranje posameznih enot sistema s sprotnim izpisom re- zultatovtestiranja, mogoče je nastavljanje ure, vnos PIN kode in PUK kode, nastavitev določenih GSM številk servisnih centrov. Izvajanje akcij v stanju "Posredovanje operaterja" je mogoče smo avtoriziranim osebam, zato je to stanje zaščiteno z vstopno kodo. Zaradi možnosti nastavljanja določenih parametrov sistema je možen prehod v omenjeno stanje tudi iz stanja "Izbira načina komunikacije" s pritiskom ustrezne kombinacije funkcijskih tipk. 4. razreda #3 se izvede prehod sistema v stanje "Klic servisa". Naprava pošlje na servisno GSM številko rezultat samopreverjanja sistema in kode napak, ki so privedle v to stanje. Pošiljanje SMS sporočila je mogoče le v primeru, da napaka ni v povezavi z RS232 povezavo oz. GSM modemom. Po samopreverjanju sistema sledi prehod sistema v stanje "Izbira načina komunikacije" oziroma v primeru napak razreda #0, v stanje "Sporočilo 1" v katerem se izpiše poročilo o odkritih napakah. Poročilu sledi ob potrditvi uporabnika prehod v stanje "Izbira načina komunikacije". Uporabnik lahko izbira med komunikacijo preko mikrovalovne povezave (MV) in komunikacijo preko GSM povezave (Sliki 3 in 4). Slika 3: Diagram stanj v primeru izbire mikrovalovne povezave V primeru, da uporabnik izbere mikrovalovno povezavo za komunikacijo z novinarskim centrom, sistem pride iz stanja "Izbira načina komunikacije" v stanje "Izbira MV lokacije" (Slika 3). Prehod v omenjeno stanje se izvrši posredno preko stanja "Opozorilo 2" le, če je pri samopreverjanju sistema odkrita napaka, ki se uvršča v razred #0 in je v povezavi z mikrovalovno zvezo (npr. kot kompasa ni v območju 0 do 359 stopinj=>onemogočena avtomatska nastavitev mikrovalovne zveze). V primeru takšne napake sistem dvigne anteno, vrtenje pa se nato izvaja ročno s prikazom kota zasuka rotarija na LCD prikazovalniku (stanje "Ročno"). V primeru, da ni napak, sistem ob izbiri lokacije novinarskega centra v stanju "Izbira MV lokacije" pride v stanje "Priprava antene". V tem stanju sistem dvigne anteno, izračuna potreben kot zasuka rotarija in zasuče rotarij (razdelek 3.1). Po končanem 53 Informacije MIDEM 32(2002)1, str. 50-56 B. Ratej, A. Chowdhury, R. Svečko: Mikrokrmilniški sistem za samodejno usmerjanje mikrovalovnih anten usmerjanju mikrovalovne antene sistem preide v stanje "Vzpostavitev MVzveze". Po uspešno vzpostavljeni zvezi sistem preide v stanje "Prenos MV" v katerem se prikazuje trenutni čas in čas trajanja povezave. Če vzpostavitev zveze ni bila mogoča se sistem vrne v stanje "Izbira MV lokacije" kjer lahko uporabnik izbere drugo lokacijo mikrovalovnega sprejemnika ali preide v stanje "Izbira načina komunikacije", kamor se sistem vedno vrne ob zaključku dela. Ob zaključenem delu se uporabnik iz stanja "Izbira MV lokacije" vrne v stanje "Izbira načina komunikacije", kar za sistem pomeni vrnitev mikrovalovne antene v začetno stanje (kot 0°) in spust stolpa. Slika 4: Diagram stanj v primeru izbire GSM povezave Drugo možnost predstavlja uporabnikova izbira GSM povezave za komunikacijo z novinarskim centrom (Slika 4). Sistem preide iz stanja "Izbira načina komunikacije" v stanje "Izbira GSM sprejemne lokacije" neposredno ali posredno preko stanja "Opozorilo 3", če je pri samopreverjanju sistema odkrita napaka razreda #0 (npr. nizek nivo GSM sprejemnega signala, ki pa ni pod mejnim nivojem, ko komunikacija ni več mogoča). Sistem ob izbiri GSM povezave izvede klic v center (stanje "Vzpostavitev GSM zveze") in ob uspešno vzpostavljeni povezavi prikaže trenutni čas in čas trajanja zveze (stanje "Prenos GSM"). Ob zaključku dela se povezava prekine, sistem pa omogoča ponovni klic oz. prehod v izhodiščno delovno stanje "Izbira načina komunikacije". 3.1. Kot zasuka mikrovalovne antene Omejena moč mikrovalovnega oddajnika omogoča vzpostavitev mikrovalovne povezave na razdaljah do 100 km vidnega polja. Zaradi majhnih razdalj med mobilnim novinarskim mestom in novinarskim centrom, se pri izračunu kota rotarija upoštevajo naslednje poenostavitve: Zemljo obravnavamo kot kroglo. Zaradi majhnih razdalj imamo opraviti z majhnimi koti, kar omogoča poenostavljanje pri uporabi trigonometričnih funkcij. Zaradi majhnih razdalj zanemarimo ukrivljenost zemeljske površine. Slika 5: Geometrijska slika izračuna kota rotarija Slika 5 prikazuje geometrijsko sliko, s pomočjo katere izračunamo potreben kot zasuka rotarija glede na poznane podatke: lokacija vozila (geografska širina, geografska dolžina), lokacija novinarskega centra (geografska širina, geografska dolžina) in smer vozila (azimut antene=>kot1). Razlika med geografskima širinama vozila (mobilnega novinarskega mesta) in novinarskega centra je na sliki predstavljena z dVzp, razlika med geografskima dolžinama pa z dPol. Neglede na to, kje se nahaja mobilno novinarsko mesto oz. vozilo glede na novinarski center, izračunamo kot2 po naslednji formuli: , 0 180 . dPol kot2 =-arcsin , n ^JdPol2+dVzp2 Pri izračunu potrebnega kota zasuka rotarija na katerem je mikrovalovna antena je potrebno upoštevati tudi relativno lokacijo vozila glede na novinarski center (Slika 4=>lokacija 1,2,3,4). Algoritem za izračun kota zasuka rotarija lahko podamo s pseudo kodo: vozilo=>Poldnevnik2, Vzporednik2 novinarski center=i>Poldnevnik1, Vzporedniki dPol=Poldnevnlk2-Poldnevnik1 dVzp=Vzporednik2-Vzporednik1 if (dVzp>=0 in dPol>=0) kot rotarija=180+kot2-kot1 else If (dVzp<0 in dPol>=0) kot rotarija=360-kot2-kot1 else if (dVzp<0 in dPoKO) kot rotarija=-kot2-kot1 54 B. Ratej, A. Chowdhury, R. Svečko: Mikrokrmilniški sistem za samodejno usmerjanje mikrovalovnih anten Informacije MIDEM 32(2002)1, str. 50-56 eise if (dVzp>=0 in dPoKO) kot rotarija= 180+kot2-kot1 It (kot rotarija<0) kot rotarija=360+kot rotarija Slika 6: Sistem v razvoju Zaradi teritorialne omejitve uporabe sistema na področje Slovenije in njej bližnje okolice je temu primerno prirejen tudi izračun kota zasuka rotarija. Izračun je prirejen za področje severno od ekvatorja in vzhodno od Greenwich-a. 4. Možnost razširitve sistema Sistem trenutno omogoča samodejno usmerjanje mikrovalovne antene, vendar brez povratne informacije o kvaliteti mikrovalovne povezave. Uporabnik sistema mora v stanju "Vzpostavitev MV zveze" po drugi komunikacijski poti (običajno GSM povezava) z operaterjem sprejemnega novinarskega centra, do katerega se povezava vzpostavlja, preveriti kvaliteto mikrovalovne povezave. Operater v novinarskem centru mora ročno nastaviti smer antene tako, da dobi najmočnejši signal na mikrovalovnem sprejemniku. Mikrovalovna povezava je enosmerna in poteka od mobilnega novinarskega mesta do novinarskega centra. Sistem z vgrajenim GSM modemom omogoča razširitev v popolnoma avtomatiziran način vzpostavitve mikrovalovne povezave. V tem primeru bi bilo potrebno podobno sistem avtomatizacije postavitve mikrovalovne antene namestiti tudi na lokacije sprejemnih centrov. Napravi na obeh straneh z vgrajenima GSM modemoma vzpostavita podatkovno povezavo, naprava mobilnega novinarskega mesta pošlje v center podatke o svoji lokaciji preko katerih naprava v novinarskem centru določi potreben zasuk antene. Z regulacijskim algoritmom bi bilo mogoče s premikanjem obeh anten doseči optimalne pogoje sprejema mikrovalovnega signala v danih pogojih. Z omenjeno posodobitvijo sprejemnih novinarskih centrov mikrovalovne povezave bi bilo mogoče izdelati tudi postopke izbiranja najustreznejše sprejemne lokacije glede na lokacijo mobilnega novinarskega mesta. Sistem bi sam odločal na kateri sprejemni center se bo povezal glede na predhod- no preverjanje mikrovalovnih povezav, ki so v dosegu mikrovalovnega oddajnika. Glede na teren na katerem se mobilno novinarsko mesto nahaja ni nujno, da je povezava do najbližjega sprejemnega novinarskega centra tudi najbolj kvalitetna. Nadalje je mogoče v primerih spremenljivih pogojev na mikrovalovni povezavi (npr. spremenljivi vremenski pogoji) izvesti vertikalni prehod na GSM povezavo. Ob nastopu poslabšanja kvalitete prenosa signala po mikrovalovni zvezi sistem vzpostavi s sprejemnim novinarskim centrom GSM povezavo in izvede mehki prehod iz mikrovalovne povezave na GSM povezavo. Ob sprostitvi mikrovalovne povezave lahko sistem poskuša vzpostaviti povezavo s katerim drugim sprejemnim novinarskim centrom, ki bi imel kvalitetnejšo mikrovalovno povezavo. V kolikor se takšna povezava vzpostavi je mogoč ponoven prehod iz GSM povezave nazaj na mikrovalovno povezavo. Vgrajena GSM modem in GPS sprejemnik omogočata v vsakem trenutku tudi sledenje avtomobila in s tem racionalizacijo dela novinarskih ekip Slika 7: Testiranje sistema 5. Zaključek Zasnovali in izdelali smo napravo za samodejno vzpostavitev telekomunikacijske povezave med mobilnim novinarskim mestom na terenu in stacionarnimi novinarskimi centri. Naprava omogoča avtomatično vzpostavitev mikrovalovne povezave v smislu usmerjanja mikrovalovne antene. Poleg tega omogoča tudi vzpostavitev GSM povezave za primere, ko vzpostavitev mikrovalovne povezave nI mogoča. Opisan sistem je v zaključni fazi razvoja in predstavlja prvi korak v smeri popolne avtomatizacije vzpostavljanja telekomunikacijske povezave med mobilnim novinarskim mestom na terenu in stacionarnimi novinarskimi centri. 55 Informacije MIDEM 32(2002)1, str. 50-56 B. Ratej, A. Chowdhury, R. Svečko: Mikrokrmilnlški sistem za samodejno usmerjanje mikrovalovnih anten Literatura Boris RATEJ, Amor CHOWDHURY, Rajko SVEČKO Fakulteta za elektrotehniko, računalništvo in /1/ siemens sab80515/sab80c515 User's Manual 08.95, siemens informatiko, Univerza v Mariboru, Smetanova 17, AG'1995 2000 MARIBOR, SLOVENIA /2/ FALCOMA2 GPS User Manual, FalcomGmbH, 1999 /3/ FALCOMA2 D Version 1.07, FalcomGmbH, 1999 /4/ ETS 300916 AT command set for GSM mobile equipment, ETSI, 12/1999 /5/ Vector™ Electronic Modules Version 1.08, Precision Navigation Inc., 7/1998 /6/ Boris Ratej, Diskretna PI in PID regulacija z mikrokrmilnlškim sistemom, diplomsko delo, 1996 Prispelo (Arrived): 8.10.2001 Sprejeto (Accepted): 25.1.2002 56 UDK621.3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 32(2002)1, Ljubljana MIXED SIGNAL SERVICE AND FOUNDRY-A BUSINESS MODEL FOR THE FUTURE Wolfgang A.Pribyl austriamicrosystems AG, Unterpremstatten, Austria Adopted from original article presented at ESSCIRC 2001, Viliach, 18.9.2001 Key words: semiconductors, microelectronics, wafer production, wafer FAB, wafer fabrication, semiconductor foundries, specialized semiconductor foundries, analog-digital circuits, mixed signal circuits, digital core circuit SoC design, digital core circuit System on Chip design, competitive cost structure, vertical value chains, disintegrated value chains, individual layers of vertical value chain, (silicon wafer) fabless companies, (silicon) foundry models, IDM, Integrated Device Manufacturers, flexible scheduling process, FAB automation, FABrication automation, CLR area, CLean Room area, production costs, CP, Costs Per, CPCLR, Costs Per Clean Room, GFA, Gross Fab Area, CPGFA, Costs Per Gross Fab Area, WSPW, Wafer Starts PerWeek, CPWSPW, Costs Per Wafer Starts Per Week Abstract: The semiconductor industry has undergone a significant change in its structure throughout the last decade. Considering the advent of large foundaries the future chances of small and medium sized foundaries specialised in the production of wafers for mixed signal circuits and associated services are discussed. If the mixed signal business model is developed in a proper way, e.g. not competing in the mainly digital core competence markets of the big foundaries but concentrating on specialised niches, such a model will work. For a sustainable growth scenario it is necessary to establish and maintain sufficient differentiation elements, a competitive cost structure and a credible view into a continuous development along the Industry roadmap. State of the art manufacturing execution and control system are a must for foundries of any size and scope. Nudenje uslug pri proizvodnji analogno-digitalnih integriranih vezij - Poslovni model prihodnosti Ključne besede: polprevodniki, mikroelektronika, proizvodnja rezin, talilnice polprevodnikov, talilnice polprevodnikov specializirane, vezja analogno digitalna, sredica digitalna vezja SoC snovanja, struktura stroškov konkurenčna, verige vrednostne vertikalne, verige vrednostne razpadle, deli posamezni verige vrednostne vertikalne, firme brez proizvodnje (rezin silicijevih), modeli talilnic (silicija), IDM proizvajalci naprav integriranih, proces planiranja prilagodljiv, avtomatizacija proizvodnje, CLR površina prostora čistega, stroški proizvodnje, CP stroški za ,CPCLR stroški za površino prostora čistega, GFA bruto površina proizvodna, CPGFA stroški za površino proizvodno bruto, WSPW rezine začete v tednu, CPWSPW stroški za vse v tednu začete rezine Izvleček: V zadnjih desetih letih je polprevodnlška Industrija doživela korenite strukturne spremembe. Glede na vzpon velikih firm, ki nudijo usluge masovne proizvodnje vezij na silicijevih rezinah, prispevek obravnava priložnost za obstoj malih in srednje velikih firm, specializiranih za nudenje uslug In proizvodnjo analogno-digitalnih vezij na silicijevih rezinah. če se poslovni model take specializirane firme zastavi na pravi način In ne konkurira direktno velikim firmam, ki so močne na trgu digitalnih integriranih vezij, ampak se usmeri v posebne tržne niše, ima možnost za uspeh. Za hitro In uspešno rast morajo take firme nadalje najti In vzdrževati primerno število razlikovalnih elementov, konkurečno strukturo stroškov in verodostojno vizijo razvoja v skaldu z zahtevami industrije. Sodobne tehnike proizvodnje in sodoben kontrolni sistem so nuja za proizvodnjo integriranih vezij na silicijevih rezinah neodvisno od velikosti in usmeritve take firme. 1. Introduction Until some years ago, most of the semiconductor companies have been vertically integrated, i.e. all necessary functions to define, develop, produce, support and ship semiconductor devices were concentrated within one enterprise. Since then, the Industry has gone through redefinition of this traditional semiconductor business model. The individual layers of the vertical value chain have desinte-grated and frequently can be found In individual firms. This fact changed the competitive structure significantly, as the entry barriers ( mainly capital required ) for all kinds of fabless companies have been reduced. Their number has Increased in all areas and a variety of innovative products could be created in a competitive environment, without having to disclose any intellectual property. Together with the foun- dries a complementary set of business models has evolved, which benefits from the division of the R&D efforts on manufacturing and product development respectively. However, In recent days, a tendency to reintegrate the supply chain again can be observed, figure 1. Every element of value creation is carefully monitored and bench-marked against the best in class on a world-wide scale. Depending on the result, a decision can be made which layers should be outsourced to the market. Such a classical supply chain within a new vertical organisation can be created or a virtual supply chain has to be assembled, which can be spread over several organisations. Looking on the past and the projected future of the foundry sales, figure 2, in comparison to the overall market of sili- 57 Informacije MIDEM 32(2002)1, sir. 57-60 W. A.Pribyl: Mixed Signal Service and Foundry- a Business Model for the Future 1111 HL. Hi MB BM BM Figure 1: Schematic of a new industry structure con wafers there seems to be evidence for succesful continuation of the foundry model as a provider of silicon for IDMs and fabless companies. Foundries have changed from technology followers to technology leaders In the most recent period of time. So foundry leaders beleive that the trend for specialization into product-and production-companies ( = foundries ) will continue. % 100 90 80 70 60 50 40 30 20 1 0 0 -«-*-*- 1995 2000 2005 20 1 0 20 1 5 2020 Figure 2: Projected foundry production share 2. Strategies of European Small and Medium Sized Semiconductor Companies In course of this industry transition various reactions could be observed among the small and medium sized European semiconductor companies. Several fabless companies and IP providers have been founded. Depending on their respective strength in products and IP and their alliances to fabrication partners they have developed quite differently. There are examples of successful IPO's, some stories of continuous growth in the private sphere, as well as some having been taken over by larger companies. The previously vertical companies have undergone the structal change In different ways. One, for example, has really separated Into independent legal entities for product development and production (foundry). Others have made a segregation of the individual value creation steps within one legal entity by using a business unit model, figure 3, where the business units act as virtual fabless companies and as a mixed signal foundry and service organisation, respectively /1/. The important issue In all cases is the ability to optimise each layer of the whole process independently. Depending on the characteristics of the core business, not only having access to production via an independent foundry, but even having some control over the process In the foundry may be of importance. E.g. the automotive and industrial markets require extremely high quality and reliability standards and very long term commitments for the delivery of certain products in certain process technologies, so they need closer and long-term oriented relationships with their respective foundry partners. Figure 3: Example for anew company structure 3. The Foundry Operating Model Looking on recent publications / 2,3 /, two factors will contribute to the significant growth of the foundry market. On the one hand IDMs are continuously Increasing their outsourced volume, on the other hand fabless companies and system houses are contributing to the Increasing wafer demand seen by foundries. As a result of the higher complexity and Increased analog content of the circuits in special markets, the need for mixed signal foundry capacity is increasing as well. A basic challenge for a foundry will be the capability to manage multiple products, processes and priorities, where all these parameters may change rather frequently. The requirement for a highly flexible scheduling process at the same time combined with the capability for short cycle times and optimum asset utilisation gives rise to many challenges for automation and information technology systems. The customers more and more require a complete EDI interface on-line visibility for all commercial, logistic and technical aspects. 58 W. A.Pribyl: Mixed Signal Service and Foundry- a Business Model forthe Future Informacije MIDEM 32(2002)1, str. 57-60 In order to achieve this goal and to compete successfully in the foundry markets, the frequently fragmented fab automation and control systems of today will have to migrate into integrated manufacturing Information systems. To achive very low cycle times, especially in the early engineering and ramp-up stages of products, the industry will start to move towards small lot or even single wafer processing strategies. Some companies are envisioning small lot processing at better than 18hrs/mask level and even 6 hrs/ mask level for a proper configured automated fab for single wafer processing / 4 /. Depending on the mix of customers, more or less CAD support and libraries are provided, some of the foundiries provide IP ( mainly in the digital field) that is proven on their own processes via partnerships only. 4. Characteristics and Differentiation Criteria of a Medium Size Mixed Signal Fab The new austriamicrosystems fab Is a medium sized project, figure 4, and started production by end of year 2001. This project has been compared with other recent wafer fab project in the US, Taiwan and Europe. Even if this fab has onlyalimited number of wafer starts per week (up to 2.500 WSPW ) of 200mm diameter, very competitive infrastructure costs have been achived. Table 1 shows the clean room area (CLR in m2), gross fab area (GFA in m2), wafer starts per week (WSPW) that can be produced, type of products ( prod), minimum structure for given set-up (struct in |_im), total cost of building and infrastructure (cost In mill. EUR), as well as the cost normalised by area ( CPCLR and CPGFA In kEUR /m2 ) and per wafer start (CPWSPW kEUR). Table 1. Benchmark of wafer fabs - cost of building and infrastructure USA Taiwan Europe C 4Fabs avg.) (1 Fab) ( 3Fabs avg.) CLR 7.700 8.000 9.400 GFA 94.000 105.000 89.000 WSPW 6.000 6.500 9.700 Prod Logic/DRAM DRAM Logic/DRAM Struct 0,25-0,35 0,25 0,18-0,25 Cost 368 312 247 CPCLR 47,8 39,0 26,3 CPGFA 3,91 2,97 2,78 CPWSPW 61,3 48,0 25,5 The respective numbers for the austriamicrosystems fab are: CLR= 2.975 m2; GFA= 22.500 m2, 2.500 WSPW, prod = mixed signal; struct = 0,25 fxm; cost = 60MEUR leading to normalised costs of 20,2 kEUR (CPCLR); 2,66 kEUR (CPGFA) and 23,9 kEUR (CPWSPW). It can be clearly seen that this project set a benchmark for minimum specific infrastructure cost, a valuable prerequisite for a competitive overall cost structure of the mixed signal foundry operation. Furthermore, depending on future needs, the fab can be easily upgraded to smaller geometries, even down to0,13|im. In conjunction with a proper blend of equipment this facility will be well positioned for the competitive environment of this industry. Of course the main area of differentiation will not be standard CMOS but rather specialised process options on top of it. These include BiCMOS and SiGe-BiCMOS, figure 5, for low power RF applications in the double digit GHz range as well as high voltage options and special sensor technologies for automotive and industrial applications. PLENUM PLENUM " ■BEGEhÈAR ; C'_;ANK00M LtVEL ...............T"im;......:......m,l,mj........... Figure 4: Cross section of austriamicrosystems Fab 59 Informacije MIDEM 32(2002)1, str. 57-60 W. A.Pribyi: Mixed Signal Service and Foundry- a Business Model for the Future Figure 5: Cross section of SiGe transistor All these processes are supported by a set of well-charac-terised and documented basic devices, libraries and special mixed signal IP, which easily plug into the CAD environment of the customers. These services are offered to all customers and have proven to significantly Increase the probability of "first time right silicon", especially in challenging analogue and mixed signal circuits, such enabling a short time-to-market scenario. For easy access and entry into the foundry's technologies a multi project wafer service ( MPW) as well as design and analysis support is provided. For many customers, the offer to also take care of the rest of the value chain such as wafer sort, assembly and test, is of large importance. Such an optimised solution in technical and economic terms can be jointly achived. This may involve coorperation on issues of design for testability as well as joint considerations of how to optimally partition a system into individual circuits (e.g. SoC Design in a very complex process vs. 2 chips in optimised different processes, which can be combined leading to a "system in package" (SiP, figure 6)). Cosidering the necessities mentioned in chapter 3 an advanced integrated manufacturing information and control system is being implemented to be able to respond to the ¿Olf 1-f ^ "««ill EEPROM+CMOS. Chip to Chip Bontlin Figure 6: Two chip system in package - schematic customers' information requirements in an accurate and timely manner. 5. References /1/ See homepage of www.austnamicrosystems.oom /2/ J.Hines, Structural Changes in the Semiconductor Industry, Industry Analisys by Gartner, 2000 /3/ J.Shelton, Fabless is the Way of the Future, Semiconductor magazine, May 2001, pp.22-28 /4/ R.Helms, Fab-wlde Automation is Critical to Microelectronics' future, Solid State Technology, January 2000, pp.49-51 Wolfgang A.Pribyi austriamicrosystems AG, Unterpremstatten, Austria Prispelo (Arrived): 18.11.2001 Sprejeto (Accepted): 25.1.2002 60 Informacije MIDEM 32(2002)1, Ljubljana POVZETKI MAGISTRSKIH DEL IN DOKTORSKIH DISERTACIJ V LETU 2001 M. S. and Ph. D. ABSTRACTS, YEAR 2001 MAGISTRSKA DELA Naslov naloge: Izgradnja merilnega sistema spektralnega analizatorja s fiksno nameščenimi fotodiodami Avtor: Iztok Kramberger Mentor: Prof.dr.Mitja Šolar; komentor prof.dr.Zdravko Kačič Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko Opisana je zasnova in Izgradnja 64-kanalnega sistema spektralnega analizatorja za ultravijolično področje svetlobe. Osnovna Ideja je bila zasnovati čim bolj vsestranski merilni sistem, namenjen spektralni analizi na področju atomske spektroskopije ob uporabi najsodobnejših digitalnih in komunikacijskih rešitev. Predstavljena je uporaba fotopomnoževalnih cevi kot fotodiod, njihova zgradba in delovanje ter njihove lastnosti. Zgradba merilnega sistema je modularno zasnovana, pri čemer je ta namenjen uporabi z osebnim računalnikom. Opis in delovanje posameznih modulov spektralnega analizatorja je opisano po posameznih poglavjih, v skupnem poglavju o rezultatih pa so predstavljeni rezultati merjenj posameznih modulov. Za povezavo spektralnega analizatorja z osebnim računalnikom je uporabljeno standardno serijsko vodilo USB, s pomočjo katerega je dosežena učinkovita povezava in združljivost strojne in programske opreme. Za uspešno uporabo spektralnega analizatorja je predstavljen koncept programske opreme v obliki programske kontrole, v katero so preslikane vse funkcije in lastnosti spektralnega analizatorja. Naslov naloge: Resonančni pretvornik z izmeničnim visokofrekvenčnim povezovalnim krogom Avtor: Robert Kovačič Mentor: Prof. dr. Miro Milanovič; somentor Prof. dr. Bogomir Horvat Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V delu je predstavljen resonančni pretvornik, katerega izhod je visokofrekvenčni nihajni krog. S pomočjo meritev in identifikacije sistemov smo določili prenosno funkcijo pretvornika, kar omogoča zapis modela pretvornika in s tem lažje načrtovanje regulatorja temenske vrednosti izhodne napetosti. Podrobno je opisana veljavnost modela in vpliv časovne odvisnosti stikalnih pretvornikov na potek frekvenčne karakteristike pretvornika. Naslov naloge: Adaptivna digitalna sita v strukturi porazdeljene aritmetike Avtor: Davorin Osebik Mentor: Prof.dr.Rudolf Babič; somentor prof.dr.Bogo-mir Horvat Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V nalogi je opisana izvedba adaptivnega nerekurzivnega digitalnega sita s progamirnimi logičnimi vezji. Adaptivno digitalno sito je 15. stopnje, dolžina registrov za zapis vhod-no-izhodne besede je 16 bitov, aritmetično logična enota je izvedena s 16 biti, na nekaterih mestih tudi s 24 biti. Pri izvedbi digitalnega sita v strukturi porazdeljene aritmetike smo uporabili sprotni izračun delnih vsot koeficientov. Pri osnovnih urinih impulzih s frekvenco 20MHz, vzorči sito vhodne signale s frekvenco 100kHz. Za adaptivno sito smo izdelali matematični model v programu Matlab Simullnk, s katerim smo vnaprej realizirali razmere in se želeli čim bolj približati dejanski izvedbeni strukturi digitalnega sita. Na osnovi rezultatov matematičnega modela smo s programskim paketom OrCad Express načrtall adaptivno digitalno sito. S programskim paketom XACT smo opravili implementacijo adaptivnega digitalnega sita v dve programirni vezji firme Xillnx. Izdelano adaptivno sito je namenjeno izločanju suma iz koristnega signala. Za verifikacijo delovanja je bilo potrebno opisati adaptivno sito vVHDLjeziku. VVHDLjezi-ku smo opisali tudi koristne in motilne signale. Za testiranje adaptivnega sita smo uporabili harmonični signal, beli šum in šum okolice. Rezultati izločanja motilnega signala iz skupnega vhodnega signala so prikazani za matematični model In za dejansko izvedeno adaptivno sito v programirnem vezju. Odstopanje rezultatov med izvedbo adaptivnega sita in matematičnim modelom adaptivnega sita je manjše od dveh odstotkov. Naslov naloge: Sistem za precizijsko balansiranje in kontrolirano debalansiranje vlakenskih optičnih interfer-ometrov Avtor: Irvin Sirotič Mentor: Prof.dr.Denis Bonlagič; somentor prof.dr.Boris Tovornik Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V magistrski nalogi "Sistem za precizijsko balansiranje in kontrolirano debalansiranje vlakenskih optičnih interfe-rometrov" je obravnavan in izveden sistem, ki omogoča ba- 61 Informacije MIDEM 32(2002)1, Ljubljana lansiranje in dabalansiranje razlike optičnih poti v vlaken-skih optičnih interferometrih. Interferometri z različnimi dolžinami rok (1-30m) in začetno razliko optičnih poti do 1 cm so uspešno balanslrani s 5|im točnostjo. Sistem omo-go-ča tudi debalansiranje vlakenskih interferometrov v območju +/-800jim z +/-5|j,m točnostjo. Naslov naloge: Procesi razvoja in uvajanja novih telekomunikacijskih storitev Avtor: Janez Anžič Mentor: Doc.dr.Janez Bešter, univ.dipl.ing. Univerza v Ljubljani, Fakulteta za elektrotehniko Kratkemu uvodu, v katerem je opredeljen bistveni problem razvoja telekomunikacijskih storitev, njihova razlika proti storitvam v ostalih industrijskih panogah in delni opredelitvi sestavnega dela procesa razvoja nove storitve, sledijo poglavja, ki opisujejo sam proces razvoja telekomunikacijske storitve. V poglavju analiza priložnosti se opredeljuje, če so na trgu zadostne potrebe uporabnikov, ki bodo dale pozitiven donos storitve. Za začetek prve faze mora operater oceniti, ali ima dovolj internih zmogljivosti ( infrastrukturo, kadre ) za zagotavljanje in podporo storitve. Ključni faktorji oziroma filter, katere storitve gredo v naslednjo fazo so: uspešnost z novo storitvijo, interne zmogljivosti za ponudbo storitve in ali storitev obeta dobiček. Druga faza, ki jo predstavlja študija izvedljivosti, se ukvarja predvsem z določanjem posameznih značilnosti storitev. Tako morajo vsi oddelki operaterja oceniti koncept storitve s svojega vidika. Oceno morajo tako dati tehnični del, omrežni del, podpora uporabnikom - prodaja, računovodstvo. Prav tako se v tej fazi prične testiranje v laboratorijih, začne se prvi interni marketinški proces. Na koncu druge faze je potrebno izdelati končni opis izdelka ali storitve z določenimi cenovnimi postavkami, promocijo, tehničnimi opisi in določenimi okvirnimi datumi komercialne ponudbe - časovni potek projekta. V petem poglavju je opisan proces dobavljanja telekomunikacijske storitve, ki je tako značilen za telekomunikacijsko storitev. Opisani so sestavni deli: pridobivanje uporabnikov in prodaja, rokovanje z naročili, zagotavljanje storitve, instalacija, obračun upravljanja in odprava napak in izpolnjevanje storitve. V šestem poglavju sledi podrobnejši opis dobavljanja telekomunikacijske storitve, kjer se hkrati izmenjuje oblikovanje in testiranje. Potrebno je združiti omrežno infrastrukturo, operacijsko podporo in poslovne procese. Je najtežja faza, saj morajo subjekti organizacije delovati kot celota. V sedmem poglavju sledi opis razvoja. Razvijajo se posamezni deli nove storitve, ki se jih nato povezuje v celoto. Pregleduje se posamezne dele storitve in določa aH je storitev za- dostno razvita s strani omrežja, procesa dobavljanja storitve in pripravljenosti operaterja v smislu kadrov in organiziranosti. V osmem poglavju sledi opis faze implementacije. V tem delu je opisano področje kakovosti storitev in njeno preizkušanje. Preizkusi se, kako deluje storitev povezana v celoto in ali izpolnjuje vse zahtevane predpostavke v prejšnjih fazah. V devetem poglavju je opisana komercialna ponudba, kjer je določena komercialna strategija, in zaporedje komercialne ponudbe. V desetem poglavju sledi opredelitev potrebne organiziranosti operaterje v telekomunikacij, kjer je opredeljeno bistvo elastičnosti in koordiniranosti posameznih subjektov, ki so sestavni člen razvoja nove storitve. S tem pa proces razvoja izdelka ali storitve ni končan. Saj je potrebno v teku življenjske dobe izdelka ali storitve nenehno spremljati odzive trga in se jim prilagajati s spreminjanjem posameznih lastnosti storitve ali izdelka. Ta področja so opisana v poglavju dokončanja razvojnega cikla in njegovo upravljanje v realnem - življenjskem okolju. Kot priloga sledi v zadnjem poglavju poslovni načrt trženja storitve korporacljskih omrežij. Poslovni načrt zajema bistvene postavke, ki so potrebne za ocenitev ali ima podjetje zadostne interne zmogljivosti v smislu kadra, tehnologije in organiziranosti, analizo trenutnih razmer na trgu, tržno analizo obnašanja potencialnih uporabnikov ter seveda ključne strategije za vstop na trg z novo storitvijo. Opisan je tudi akcijski in investicijski plan. Naslov naloge: Prenos govora preko omrežja z internet protokolom Avtor: Vugrinec Aleš Mentor: prof. dr. Sašo Tomažič Univerza v Ljubljani, Fakulteta za elektrotehniko Tema tega magistrskega dela je prenos govora preko podatkovnih omrežij z uporabo Internetnega protokola (IP ). Delo obravnava splet med seboj povezanih problemov, ki spremljajo prenos govora po IP omrežju. Posebnosti IP omrežja. IP omrežje je paketno omrežje namenjeno prenosu podatkov in v osnovi ni namenjeno prenosu govora. Za prenos podatkov omrežje ne vzpostavlja povezav in paketi istega izvora lahko do cilja potujejo po različnih poteh. To so značilnosti paketne komutacije, ki je virvseh težav prenosa podatkov v realnem času. Internetu in ostalim IP omrežjem je skupen IP protokol. Poznavanje tega protokola je ključnega pomena za razumevanje delovanja VolP omrežij. Slojna struktura omogoča neodvisno delovanje posameznih elementov v omrežju. Vsak sloj ima svoje funkcije in naloge. Terminali si medsebojno izmenjujejo datagrame, ki nosijo koristno Informacijo. Struk- 62 Informacije MIDEM 32(2002)1, Ljubljana turo datagrama in segmenta protokola IP in TCP. VIP omrežju se telefonska zveza med dvema govorcema vzpostavi postopoma preko različnih logičnih kanalov, zato morajo omrežje in sodelujoči terminali poskrbeti za postopke vzpostavitve zveze, prenosa govora in kodiranja zveze. Omenjeni postopki so definirani v priporočilu H.323. To priporočilo je osnova za prenos govora preko IP omrežij in je podobno opisano v tem delu. Podani so elementi H.323 omrežja, postopki komunikacij med elementi in njihova struktura. Podrobno je predstavljena zgradba IP telefona, njegovo delovanje in možnosti uporabe. Predstavljene so obstoječe osnovne dodatne in dopolnilne storitve povzete po priporočilih H.450. Poleg že znanih, je podana tudi diskusija o možnostih za nove storitve, ki bi omogočile nov zagon v razvoju IP telefonije. Vpliv in omejitve kakovosti storitev (QoS) pri prenosu govora. Kakovost govorne storitve po navideznem kanalu-zvezi, ki se vzpostavi po podatkovnem omrežju je zelo pomembna. V naboru protokolov, ki omogočajo prenos govora preko IP ni nobenih mehanizmov za zagotavljanje QoS. Pogovor, oziroma telefonska zveza med dvema IP terminaloma, poteka preko dveh protokolov. Signalizacija poteka preko TCP, sam govor pa preko UDP in RTP Slednja omogočata le nezanesljivo zvezo, kar pomeni, da v primeru izgube paketov prihaja do izgube govorne informacije, kar močno vpliva na kakovost prenašanega govora. Kakovost prenašanega govora je odvisna od mnogih dejavnikov. Digitalizacija govora, stiskanje in zlaganje govora v podatkovne pakete morajo biti opravljeni v najkrajšem možnem času. To velja tudi za oddajo paketov v omrežje, njihov prenos preko omrežja ter na koncu za obratni postopek rekonstrukcije govora. Če hočemo doseči zadovoljivo kakovost telefonske zveze, mora potekati celoten postopek v realnem času. Vsaka komponenta v takšni telefonski zvezi ima svojo zakasnitev. V okviru naloge opravljene meritve potrjujejo domnevo, da je ravno sprejemni terminal tisti, ki vnaša večji del zakasnitve. Pomembni so tudi drugi dejavniki od izbire kodirnika govora do obremenjenosti omrežja. Meritve in rezulatati. Najbolj izpostavljena nadloga za prenos v realnem času je zakasnitev, ki jo tvorijo fiksni (terminali, prehodi, kodirniki...) in spremenljivi deli ( odvisni od obremenitve omrežja, razdalje, števila skokov...). Primerjali smo različne tipe IP telefonov ( PC telefon, IP telefon, prehod ), z različnimi kodirniki govora (G. 711, G.723.1 ) in v različnih omrežnih pogojih (neobremenjeno in obremenjeno lokalno omrežje ). Pred izvedbo meritev je bila opravljena podrobna analiza kodirnikov govora. Njihova primerjava je omogočila razumevanje dobljenih rezultatov meritev v obremenjenem in v neobremenjenem omrežju. Najhitrejše delovanje in s tem najmanjšo zakasnitv omogoča uporaba kodirnika govora G.711. Končna razlika se zelo približa teoretični računski razliki, ki znaša 35 ms. Zanimiva je tudi primerjava zakasnitev za različne izvedbe IP telefona, kjer se je po pričakovanjih najbolje odrezal prehod, najslabše pa programski telefon, izveden v osebnem računalniku. Podrobnejši vpogled v vse postopke pri prenosu govora preko IP omrežij je omogočil še eno zanimivo ugotovitev, povezano z varnostjo. Opisan in realiziran je celoten postopek za prisluškovanje pogovorom v IP telefoniji. Postopek temelji na uporabi posebnega omrežnega analizatorja, s katerim lahko posnamemo celotno dogajanje v lokalnih omrežjih. Po opravljenem zajemu podatkov iz lokalnega omrežja je potrebno izločiti govorno informacijo sodelujočih terminalov. Postopek izločanja temelji na lastnem programu, ki iz zajetih datagramov izseje le govorno informacijo. Sledi dekodiranje dobljenega signala po standardu G.711 ali G. 723.1 in pretvorba v primerno obliko za predvajanje na osebnem računalniku. Naslov naloge: Zasnova brezkontaktnega bralnika Avtor: Anton Štern Mentor: Prof.dr.Janez Trontelj Univerza v Ljubljani, Fakulteta za elektrotehniko Magistrsko delo obravnava načrtovanje integriranega bralnika brezkontaktne pametne kartice, težave, ki se pojavljajo pri tem, in možne rešitve. V uvodu so predstavljene razmere na delu področja avtomatske identifikacije, ki zadeva tudi področje brezkontakt-nih pametnih kartic. Prikazane so prednosti bralnika kartice, ki bi bil v celoti izdelan v integrirani tehnologiji. Glavni del je razdeljen v tri poglavja, ta pa na več podpoglavij. Prvo poglavje je namenjeno analizi komunikacije s pametno kartico in analizi obstoječih sistemov, v drugem je prikazano načrtovanje integriranega bralnika, v tretjem delu pa so prikazani na testnem integriranem vezju izmerjeni rezultati. Na začetku poglavja z analizami je kratek prikaz delovanja sistema bralnika brezkontaktne pametne kartice. Sledi analiza prehajanja amplitudne modulacije kartice v fazno modu-lacljo. Precejšen del poglavja je namenjen analizi treh različnih na trgu dosegljivih sistemov. Namen te analize je dobiti vpogled v trenutno stanje tehnike in dosegljivih lastnosti na tem področju. Analiza je podprta z opisom merilnih metod in množico izmerjenih vrednosti. Na koncu poglavja z analizami je opisanih nekaj posebnosti v sistemu, ki je namenjen komunikaciji z več kot eno pametno kartico hkrati. V poglavju o načrtovanju bralnika je najprej prikazan predlog, kako bi rešili problem prehajanja amplitudne modulacije v fazno modulacijo. Sledi opis načrtovanja oddajnega dela vezja s pripadajočo prilagoditvijo na izhodu in opis načrtovanja sprejemnega dela vezja. Prikazanih je nekaj simu-lacijskih rezultatov. Na koncu je opis vezja za hitri prehod z oddaje na sprejem. V naslednjem poglavju so rezultati meritev testnega integriranega vezja, primerjava s pričakovanimi oziroma simuliranimi vrednostmi in komentar izmerjenih rezultatov. Na 63 Informacije MIDEM 32(2002)1, Ljubljana koncu so podane meritve, ki so potrebne za testiranje v proizvodnji. V sklepnem poglavju je kratek opis opravljenega dela. Na testnem vezju izmerjeni rezultati so primerjani z rezultati, pridobljenimi z meritvami na obstoječih sistemih. Prikazane so možnosti nadaljnjih izboljšav. Naslov naloge: Mehanizmi izmenjave vsebin v sistemu E- izobraževanja Avtor: Gorazd Vrabič Mentor: doc. Dr. Janez Bešter Univerza v Ljubljani, Fakulteta za elektrotehniko Globalen svet poslovanja, ki se širi prekvseh geografskih in družbenih mej, je z razcvetom omrežnih in računalniških tehnologij dobil zagon, kateremu smo priča danes in ga lahko opazujemo v hitrem razvoju elektronskega poslovanja. Ta postavlja nova merila pričakovanj, potreb in priložnosti v širokem spektru dejavnosti, ki jih zajema elektronsko poslovanje, med drugim tudi v izobraževanju na daljavo. Izobraževanje na daljavo je tista gonilna sila, ki povzroča počasno transformacijo iz informacijske ekonomije v ekonomijo znanja. Nove tehnologije namreč povzročajo revolucionarne spremembe na izobraževalnem trgu, v načinu tvorbe vsebine izobraževalnih gradiv, storitev in uporabe tehnologij. Projekt UNIVERSAL ( " UNIVERSAL Exchange for PAN -European Higher Education ") poskuša slediti tem revolucionarnim spremembam in zgraditi svojo platformo za posredovanje učnih gradiv med ponudniki in uporabniki in tako prispevati svoj delež k razvoju globalne borze znanja. Poleg tega poskuša ovrednotiti obstoječe standarde s pomočjo niza testiranj z evolucijskimi različicami platforme. Pri tem se zaveda pomembnosti izgradnje uporabniško prijaznega kataloga obstoječih učnih gradiv, kateremu posveča veliko pozornost, saj je njegov osrednji del. Katalog učnih gradiv je iz uporabnikovega zornega kota namreč osrednja informacijska in kontaktna točka, kjer uporabnik dostopa do elementov metapodatkovnih opisov učnih gradiv. Na podlagi teh se uporabnik odloča o uporabi učnih gradiv, saj vsebujejo vsebinske, tehnične in administrativne informacije o gradivih. Projekt UNIVERSAL poskuša z izgradnjo platforme definirati in zgraditi lastno borzo znanja, na kateri se objavljajo učna gradiva. Zato je bilo potrebno v začetni fazi projekta definirati specifikacije različnih delov platforme (upravljanje uporabniških podatkov, objavljanje učnih gradiv, mehanizmi dostopa do učnih gradiv, naročanje in rezervacije učnih gradiv, prenos učnih gradiv, evaluacija...). To nalogo smo si partnerji, ki sodelujemo v projektu, razdelili med seboj. Moj prispevek k projektu je specificlranje mehanizmov do učnih gradiv, ki naj bi bila objavljena na omenjeni platformi. Podane rešitve obravnava osrednji del magistrskega dela. Osrednja ideja pri specificiranju mehanizmov dostopa je, ob izkoriščanju izbranega metapodatkovnega modela, izgradnja uporabniško prijaznega in učinovitega mehanizma za dostop. Pri tem se učinkovitost zrcali v zmožnostih uporabnika, da hitro najde ustrezno učno gradivo. Pri tem je pomembno, da je uporabniku dostopna tista informacija, ki mu pove, ali je določeno gradivo zanj zanimivo. Prek mehnizmov dostopa mora biti uporabnikom omogočeno, da do učnih gradiv dostopajo, jih iščejo, pridobivajo in jih nenazadnje tudi uporabljajo. Glede na to so v okviru kataloga učnih gradiv definirani naslednji mehanizmi dostopa: kazala in indeksi, iskanje prek poizvedb, brskanje in sporočilni mehanizem. Ti mehanizmi imajo določene zahteve in značilnosti. Osnovna tema te naloge je podajanje in opisovanje funkcijskih zahtev mehanizmov dostopa in procesa kategorizacije učnih gradiv v okviru izbrane klasifikacijske sheme. Cilj je torej podati funkcijske zahteve, ki uporabniku omogočajo čim lažje, hitrejše in uporabniško prijazno iskati in najti učno gradivo, ki ga zanima, ponudniku pa omogočajo klasificirati svoje učno gradivo v primerno kategorijo. Težišče naloge je v specifikacijah samega procesa kategorizacije, kjer se učna gradiva združujejo v neke skupine oziroma kategorije in s tem tvorijo drevesno strukturo kataloga. Poleg tega so podrobno podane tudi možne rešitve za navigacijo po drevesni strukturi kataloga s pomočjo uporabniško prijaznega vmesnika. Rešitve, ki jih omenjeni mehanizmi ponujajo, omogočajo uporabniku izmenično ( prepleteno) uporabo različnih vrst mehanizmov. In prav ta prepletenost ponuja učinkovit in hiter mehanizem za iskanje želenih učnih gradiv v obsežnem katalogu. Veliko število podpornih funkcij iskanje pospešijo in še dodatno olajšajo. Administratorjem kataloga podane rešitve omogočajo hitro izgradnjo ustreznega kataloga učnih gradiv, ki temelji na izbrani klasifikacijski shemi. Predlagana avtomatizacija procesa kategorizacije podpira postopno avtomatizacijo skladno z naraščanjem učnih gradiv, ki so na platformi na voljo. Projekt UNIVERSAL je v svoji triletni življenjski dobi šele na začetku. Zaradi tega bodo obsežna testiranja na evolucijskih različicah platforme pokazala, katere rešitve in zahteve so primerne in katere niso primerne. Nedvomno pa bodo vse končne rešitve imele skupno nit: uporabniška prijaznost, zadovoljiva hitrost in čim večja prenosljivost sestavnih elementov med obstoječimi platformami. Naloga je razdeljena v šest poglavij. V prvem poglavju je podana definicija elektronskega poslovanja in pregled njegovih dejavnosti ter njihovih lastnosti. Izobraževanje na daljavo, kot ena izmed dejavnosti elektronskega poslovanja, njegov zgodovinski razvoj in oblike so opisane v drugem poglavju. Poleg tega je podan kratek pregled obstoječih in nastajajočih standardov na daljavo ter področja, kjer bo šele potrebno zapolniti vrzeli v standardizaciji. Tukaj je predstavljena tudi ocena oziroma opis razširjenosti uporabe izobraževanja na daljavo v Sloveniji. Tretje poglavje opisuje projekt UNIVERSAL, ki ima namen prispevati k razvoju 64 Informacije MIDEM 32(2002)1, Ljubljana izobraževanja na daljavo z izgradnjo odprte platforme za izmenjavo učnih gradiv. Uporabljani metapodatkovni model projekta in njegove lastnosti so opisane v četrtem poglavju. Peto poglavje, ki je osrednji del naloge, podaja funcijske specifikacije in zahteve za mehanizme dostopa do učnih gradiv v okviru projekta UNIVERSAL, ki temeljijo na njegovem metapodatkovnem modelu. V tem poglavju je podan tudi opis mehanizma za klasifikacijo učnih gradiv v drevesno strukturo kataloga. Opisana je tudi trenutno delujoča različica platforme in njen katalog učnih gradiv skupaj z mehanizmi dostopa. V šestem poglavju je podan zaključek z osnovnimi ugotovitvami, spoznanji, načrti in predvidevanji za nadaljnji razvoj projekta in njegove platforme. Naslov naloge: Modeliranje transporta majhnih molekul preko električno permeabilizirane celične membrane Avtor: Marko Puc Mentor: izr. Prof. dr. Damijan Miklavčič Univerza v Ljubljani, Fakulteta za elektrotehniko Elektroporacijaje metoda, pri kateri s kratkotrajnimi visokonapetostnimi električnimi impulzi v plazmalemi povzročimo strukturne spremembe, ki si jih največkrat predstavljamo kot pore. Te začasno povečajo prepustnost plazmaleme, zaradi česar lahko katerakoli snov iz celične okolice neposredno vstopi v celico. Na elektroporacijo in povečanje prepustnosti plazmaleme ter vnos snovi v celico vplivajo številni parametri. Razdelimo jih lahko na parametre električnega polja in parametre, ki so povezani s staranjem celic. Glavni cilj uporabe pojava je vnos snovi iz okolice v celico. Za dosego zadostnega vnosa snovi v celico je zato potrebno poiskati tiste optimalne parametre elektroporacije, ki vplivajo na transmembranski transport. V ta namen lahko optimizacijo parametrov izvedemo z obsežnimi eksperimenti ali s simulacijami s prediktivnim modelom, ki temelji na eksperimentalnem in teoretičnem znanju. V okviru tega smo prikazali gradnjo matematičnega modela transmembranskega transporta snovi preko električno permeabilizirane plazmaleme. Pri tem smo uporabili principe prostornega modeliranja. Hkrati smo pri gradnji upoštevali tudi nekatere značilnosti elektroporacije, kot je kine-tika regeneracije plazmaleme. Dobljeni model omogoča opazovanje iztekanja ali vnosa majhnih molekul preko električno permeabilizirane plazmaleme povprečno velike celice. Iztekanje in vnos lahko opazujemo v odvisnosti od parametrov električnega polja, npr. amplitude, dolžine, števila Impulzov; ali v odvisnosti od časa. Model omogoča tudi spremembo eksperimentalnih pogojev, npr. zunajcellčne koncentracije snovi, čas razredčitve itd. Naslov naloge: Optoelektronske lastnosti amorfnosilici-jevih večplastnih struktur z gladkimi in hrapavimi površinami Avtor: Janez Krč Mentor: doc. Dr. Marko Topič, univ dipl. inž. el.. Univerza v Ljubljani, Fakulteta za elektrotehniko Polprevodniške strukture iz amorfnega silicija (a-Si:H) zahtevajo zaradi svoje večplastnosti in vse večje kompleksnosti struktur učinkovit pristop k analizi njihovih optoelektronskih lastnosti, ki ga lahko izvedemo s pomočjo računalniško podprtega optičnega in električnega modeliranja. V pričujoči magistrski nalogi smo se posvetili predvsem optičnemu modeliranju a-Si:H struktur, električno analizo pa smo izvedli s pomočjo že obstoječega numeričnega simulatorja ASPIN. Najprej smo obravnavali optoelektronske lastnosti a-Si:H struktur s samo gladkimi spoji, nato pa še lastnosti struktur, ki vključujejo tako gladke kot tudi hrapave spoje. V analizi optičnih lastnosti a-Si: H struktur z gladkimi spoji smo predstavili In realizirali tri tipične optičnih modelov; klasičnega, razširjenega klasičnega in valovnega. S pomočjo valovnega modela, ki zajema tudi interferenčne efekte svetlobe, smo analizirali dva tipa a-Si:H struktur: strukturo a-Si:H sončne celice v osnovni PIN konfiguraciji steklo/TCOi/P-l-N/(TC02)/kovina (TCO = Transparent Conductive Oxide, svetlobno prepustni prevodni oksid) in strukturo a-Si:H tro-kontaktnegatro-kanalnega detektorja barve v konfiguraciji stekl0/TC0i/P-l2-P/TC02/ P-b-N/kovina. Raziskali smo vplive posameznih plasti na optične lastnosti struktur. Pri analizi sončnih celic smo na podlagi optičnih simulacij ugotovili sledeče: Steklo kot nosilna osnova a-Si:H sončne celice izboljša optično sklopitev med vpadnim medijem (zrak) in strukturo sončne celice. Pri izbiri sprednjega TCO1 kontakta smo se med dvema tipoma plasti različnih materialov - ZnO In Sn02 - z danimi optičnimi lastnostmi na podlagi optičnih simulacij odločili za plast SnÜ2, saj je v našem primeru izkazovala nižjo absorpcijo kratkovalovne svetlobe. Poleg tega se je izkazalo, da je s stališča absorpcije bolj primerna tanjša kot debelejša SnOž plast. V zvezi s P plastjo smo ugotovili, da je z optičnega vidika P a-SiC:H plast z vgrajenim ogljikom bolj primerna od P a-Si:H plasti brez vgrajenega ogljika, saj v primeru tanke P a-SiC:H plasti zaradi povečane optične reže in manjšega odboja na TC01/P spoju večji delež svetlobe prodre v I plast, kjer je ekstrakcija nosilcev naboja največja. Z večanjem debeline I plast se v splošnem veča tudi absorpcij dolgovalovne svetlobe v I plasti, hkrati pa s spreminjanjem debeline I plasti opazimo tudi premike interferenčnih oscilacij v karakteristiki. 65 Informacije MIDEM 32(2002)1, Ljubljana Manjše varacije debeline N plasti nimajo večjega vpliva na optične lastnosti strukture. Odbojnost na spoju N/Ag je kljub defektnemu območju na spoju večja kot odbojnost na N/A1 spoju, kar kaže v prid Ag kontakta. Vgraditev vmesne TCO2 plasti med N plast in kovinski kontakt to odbojnost nekoliko izboljša, vendar simulacije pokažejo, da s tem ne pridobimo več veliko na absorpciji svetlobe v I plasti. Poleg optičnih simulacij smo s pomočjo ASPIN simulatorja Izvedli tudi električno karakterlzacijo a-SI:H sončne celice. Nadalje smo na podlagi optičnih simulacij a-Si:H strukture detektorja barve s spreminjanjem debelin posameznih plasti poskušali zagotoviti čim boljšo detekcijo modrega, zelenega oziroma rdečega dela svetlobnega spektra v 11,12 oziroma I3 plasti. Ugotovili smo sledeče: Na optoelektronske lastnosti omenjenega detektorja igrajo med drugim pomembno vlogo debeline TCO1, N (PI1NI2P) in TCO2 plast. Debelina TCO1 plast vpliva predvsem na valovni potek absorpcije v h plasti, ki določa detekcijo modrega dela spektra. Z optimizacijo debeline TCO1 plasti ( dTcoi opt = ~ 140 nm ) smo na podlagi optičnih simulacij predvideli boljšo detekcijo svetlobe v realnih strukturah. Z debelino N plasti vplivamo na ločljivost detektiranja absorpcije modre in zelene svetlobe v h oziroma I2 plasti. Z večanjem debeline N plasti se ta ločljivost izboljšuje, hkrati pa se manjša absorpcija zelene svetlobe v I2 plasti, zato smo s spreminjanjem debeline N plasti ocenili optimalno debelino dn opt = ~ 60nm na podlagi teh dveh nasprotujočih si dejavnikov. Z optimizacijo debeline TCO2 plasti smo dosegli manjši vpliv interferenčnih efektov na potek valovno odvisne absorpcije rdeče svetlobe v I3 plasti in s tem zmanjšali vpliv variacij debelin drugih plasti na omenjeno absorpcijo. Z analizo optoelektronskih lastnosti a-SI:H struktur s hrapavimi spoji najprej razvili optični model, ki na podlagi enačb Iz skalarne teorije sipanja ("scalar sattering theory") opisuje razpršitev svetlobe na hrapavih površinah. Zaradi te razpršitve je ujetje svetlobe v strukturo precej boljše kot v primeru gladkih površin. V opisu modela smo najprej predstavili razmere ob vpadu usmerjene in razpršene svetlobe na gladek spoj. Pri tem smo izhajali iz razširjenega klasičnega valovnega optičnega modela. Pri vpadu usmerjene svetlobe na hrapav spoj smo na osnovi teorije sipanja pred- postavili, da ostane del odbite oziroma prepuščene svetlobe še vedno usmerjen (spekularna svetloba), del pa se zaradi hrapavosti razprši (difuza svetloba). Podobno predpostavko smo naredili pri vpadu razpršene svetlobe na hrapav spoj, kjer smo za difuzni del svetlobe predpostavili večjo razpršitev kot v primeru vpada usmerjene svetlobe. V navadnem optičnem modelu za strukture s hrapavimi spoji smo predpostavljali koherentni značaj usmerjene svetlobe le v področju pred prvim hrapavim spojem, vso usmerjeno svetlobo za prvim hrapavim spojem pa smo obravnavali kot ne-koherentno. S tem smo zanemarili del interferenčnih efektov, ki Izvirajo iz tega dela struture. Na osnovi dobrega ujemanja maritve in simulacije izbrane a-Si:H sončne celice smo izvedli analizo optoelektronskih lastnosti dveh tipov a-Si:H sončnih celic: struktura s hrapavo TCO2 plastjo in struktura s hrapavim TCO1 kontaktom Za obe strukturi smo analizirali vpliv hrapavosti omenjenih plasti in ugotovili sledeče: pri hrapavi TCO2 plasti, ki vodi samo k enemu hrapavemu spoju - TC02/Ag - z večanjem hrapavosti delno izboljšamo absorpcijo v I plasti samo v dolgovalovnem območju spektra (I < 60nm). Simulacije so pokazale, da z večanjem hrapavosti nad srms= ~ 40nm ne pridobimo več na izboljšanju omenjene absorpcije, zato smo kot optimalno hrapavost TCO2 plasti v dani sončni celici ocenili na vrednost okoli srms = ~ 40nm. V primeru strukture s hrapavim TCO1 kontaktom so simulacije pokazale, da se z večanjem hrapavosti izboljšuje ne samo absorpcija dolgovalovne ampak tudi srednje in kratkovalovne svetlobe (350 nm< I < 600 nm). To je posledica dejstva , da so v tem primeru hrapavi spoji prisotni tudi v začetnem delu strukture, kjer se razširja tudi kratko in srednjevalovna svetloba. V tem primeru smo na podlagi optičnih simulacij ocenili vrednost hrapavosti TCO1 kontakta na okoli Srms = ~ 80nm. Na koncu optičnih simulacij smo s pomočjo ASPIN numer-ičnega simulatorja izvedli tudi izračun električlnih karakteristik obeh tipov struktur sončnih celic z optimalnima vred-nostlma hrapavosti. V zadnjem podpoglavju magistrske naloge smo opisali še modifikacijo optičnega modela za strukture s hrapavimi spoji, ki upošteva koherenten značaj usmerjene svetlobe čez vso strukturo. Modificiran model smo na podlagi meritev verificirali In na koncu podpoglavja podali smernice za na-daljne Izboljšave. 66 Informacije MIDEM 32(2002)1, Ljubljana DOKTORSKE DISERTACIJE Naslov naloge: Večslojne tankoplastne sončne celice Avtor: Kristijan Brecl Mentor: Prof. dr. Jože Furlan, univ. dipl. ing. el. Univerza v Ljubljani, Fakulteta za elektrotehniko V predloženem delu smo podrobno raziskali fizikalne lastnosti večslojnih tankoplastnih cončnih celic iz polprevod-niških materialov slabe kvalitete. Obravnavali smo dva tipa večslojnih struktur: paralelno sončno celico z lebdečimi plastmi. Osnovno razlago delovanja smo razvili na štirisloj-ni sončni celici z lebdečimi plastmi ter jo nato razširili na paralelne sončne celice. Zamisel o potrebnosti raziskave večslojnih sončnih celic se nam je porodila ob prebiranju člankov o izdelanih večslojnih sončnih celicah, saj nikjer nismo zasledili fizikalnega opisa njihovega delovanja. V prvem delu doktorske disertacije so predstavljene večslojne sončne celice, izdelane na Univerzi v New South VValesu v Avstraliji. Tehnologija izdelave in njihovo delovanje sta predstavljena do sedaj objavljanih podatkov. V okviru tega dela je predstavljen tudi osnoven namen večslojnih struktur ter njihove morebitne prednosti, medtem ko smo jih kritično ocenili na koncu naloge. Izhodišče naših raziskav je Ebers-Mollov model tranzistorja, ki smo ga razširili tako, da je primeren za modeliranje večslojnih osvetljenih sončnih celic. Opisani model se je zaradi svoje preprostosti izkazal za primerno orodje analize, saj na enostaven način prikaže zapleteno delovanje večslojnih sončnih celic. Glavni del disertacije vsebuje fizikalno analizo štirislojne tankoplastne strukture sončne celice z lebdečimi plastmi. Analiza temelji na razširjenem Ebers-Mollovem modelu. Razdeljena je na tri dele oziroma na obravnavo treh parametrov sončne celice: kratkostični tok, napetost odprtih sponk in polnilni faktor. Ugotovili smo tri različne načine delovanja sončne celice glede na napetost preko posameznih spojev. Napetosti preko vseh spojev so pri osvetljeni sončni celici prevodno po-larizirane. Vedno je napetost preko srednjega spoja večja od ostalih dveh napetosti, ki sta lahko enako veliki, ali pa je ena izmed njih mnogo manjša. Raziskave so pokazale, da je izhodni kratkostični tok sončne celice približno enak vsoti posameznih svetlobno generiranih tokov preko spojev In da svojo največjo vrednost doseže v primeru napetosti na prvem in tretjem spoju. Pri konstantnih debelinah prve in zadnje plasti in spremenljivih debelinah srednjih dveh plasti je največji kratkostični tok pri točno določenem razmerju debelin srednjih dveh plasti. Pri odprtih sponkah so napetosti na spojih sončne celice v idealnih razmerah enake napetosti odprtih sponk. V realnih razmerah, pri slabih transportnih faktorjih nosilcev naboja, se vrednosti napetosti na spojih sončne celice nekoliko razlikujejo, vendar so še vedno dokaj podobne. Napetost odprtih sponk je skoraj neobčutljiva na spreminjanje debeline srednji dveh plasti. Spreminjajoč debelino srednjih dveh plasti štirislojne sončne celice, smo ugotovili, da doseže polnilni faktor svojo najmanjšo vrednost, kadar ima sončna celica največji kratkostični tok. Sončna celica z lebdečimi plastmi nikoli ne doseže največjega kratkostičnega toka in izkoristka pri enakih debelinah posameznih plasti. Ker večje število spojev in uporaba materialov slabih kvalitet zvišuje učinke rekombinacij v osiromašenih plasteh, smo raziskali njihov vpliv v večslojnih sončnih celicah. Štirislojna sončna celica ima podobne lastnosti kot tiristor. Ko vgrajujemo te sončne celice v modul, zaradi tiristorskih lastnosti ne potrebujemo diode, ki bi preprečevala bremensko delovanje sončne celice, kadar modul ni osvetljen. Paralelno sončno celico smo analizirali s pomočjo razvitega modela za sončne celice z lebdečimi plastmi, pri čemer smo dodali paralelne povezave med plastmi istega tipa polprevodnika. Kratkostični tok je pri paralelni sončni celici enak vsoti posameznih svetlobno generiranih tokov preko spojev. Ko je kratkostični tok največji, ima paralelna sončna celica tudi največji izkoristek. Primerjava posameznih struktur sončnih celic je pokazala, da imajo paralelne sončne celice boljše lastnosti kot celice z lebdečimi plastmi. Raziskali smo tudi vpliv zaporedja tipov polprevodnikih plasti in pri tem ugotovili, da ima boljše lastnosti sončna celica, pri kateri je prva plast tipa N. Analitični obravnavi sončnih celic sledi prikaz rezultatov simulacij večslojnih sončnih celic. Simulacije smo razdelili na posamezne sklope glede nato, kako opazovani parameter vpliva na lastnosti sončne celice: vpliv koncentracije primesi v posameznih plasteh, vpliv življenjskega časa prostih nosilcev, vpliv rekombinacij v osiromašenih plasteh in površinskih rekombinacij, vpliv števila plasti ter vpliv ujetja svetlobe. S pomočjo simulacij sta obravnavani tudi problematika vžiga pri tiristorski sončni celici ter spektralna analiza. Rezultate simulacij, s programom MULTILAY, smo primerjali z rezultati simulacij, ki smo jih opravili s komercilanimi nu-meričnimi simulatorji. V zaključku predložene disertacije smo opisali lastnosti obeh sončnih celic ter kritično ocenili rezultate simulacij. Nalogi je priložen opis računalniškega programa MULTILAY, ki je bil razvit vzporedno z raziskavami sončnih celic. Program omogoča simulacijo večslojnih sončnih celic z največ desetimi plastmi in izpis rezultatov v obliki tabel, grafov in poročila. 67 Informacije MIDEM 32(2002)1, Ljubljana Naslov naloge: Vpliv velikosti ionov na fizikalne lastnosti električne dvojne plasti Avtor: Klemen Bohinc Mentor: prof. dr. Tomaž Slivnik Somentorica: doc. Dr. Veronika Kralj Iglic U n i ve rza v Lj u b Ijan I, Faku Iteta za ele ktroteh n i ko Električna dvojna plast nastane, ko pride po površini naele-ktrena ploskev v stik z elektrolitsko raztopino. Izraz za prosto energijo električne dvojne plasti izpeljemo s pomočjo statistično mehanskega pristopa z velekanoničnim ensemb-lom. Elektrostatske sile opišemo s povprečnim poljem, končno velikost ionov pa s predpostavko, da se prostornine, kijih zavzamejo posamezni delci, med seboj ne morejo prekrivati. Delci v raztopini so porazdeljeni v mrežo z nastavljivo mrežno konstanto. Različne velikosti ionov opišemo z različnimi vrednostmi mrežne konstante. Prosto energijo izpeljemo za poljubno sestavo in valenco Ionov ob zahtevi, daje povprečno električno polje daleč od nabite površine enako nič. Obravnavamo električno dvojno plast v ravni In valjasti geometriji. Predstavimo dve meh, ki opišeta efektivno debelino dvojne plasti: razdaljo, kjer številska gostota protiionov pade za izbrani delež maksimalne vrednosti In razdaljo, ki določa območje, v katerem je določen delež protiionov, ki senčijo nabito ploskev. Pokažemo, da efektivna debelina električne dvojne plasti narašča z naraščajočo velikostjo protiionov in s padajočo koncentracijo ionov daleč od nabite ploskve. Zvečanje gostote naboja pa lahko povzroči zmanjšanje ali porast efektivne debeline, odvisno od velikosti protiionov. Pokažemo, da se tak opis efektivne debeline električne dvojne plasti pri nizkih koncentracijah ionov daleč od nabite površine, kvalitativno razlikuje od klasičnega opisa z De-byevo dolžino. V grobem je kondenzaclja pojav, v katerem je določen delež protiionov, ki senčijo električno dvojno plast vsebovan v območju tik ob nabiti ploskvi. Natančneje obravnavamo kondenzacijo protiionov v ravni In valjasti električni dvojni plasti v šestem poglavju. Pokažemo, da je v približku povprečnega polja pri majhnih koncentracijah ionov daleč od nabite ploskve v obeh geometrijah določen delež protiionov vsebovan v območju tik ob nabiti ploskvi. Predlagamo, da lahko za tako majhne koncentracije ionov daleč od nabite ploskve neodvisnot debeline dvojne plasti od koncentracije ionov daleč od nabite ploskve uporabimo kot splošen pogoj za kondenzacijo protiionov. Pokažemo, daje pri ustreznih parametrih kondenzacija protiionov močnejša v valjasti kot v ravni geometriji. 68 Informacije MIDEM 32(2002)1, Ljubljana PREDSTAVLJAMO LABARATORIJ Z NASLOVNICE WE PRESENT LABORATORY FROM THE FRONT PAGE LABORATORY FOR MICROELECTRONICS Faculty of Electrical Engineering University of Ljubljana On the occasion of the 30th anniversary of the Laboratory for microelectronics at the Faculty of Electrical Engineering of the University of Ljubljana, Informacije MIDEM is bringing front page showing one of its successfully designed chip. Presently the main activity of the Laboratory is the design of mixed signal integrated circuits and microsystems and implementation of very efficient design tools that had been developed in the laboratory. These tools have been proved by successful design of several hundred of ICs for domestic and foreign customers. Part of the Laboratory for microelectronics is an IC fabrication line, which is capable of processing 4" wafers down to submicron feature size enabling pilot production and high level student work. The Laboratory was founded in 1969. It started with the development and the design of complex thin film integrated circuits and monolithic discrete devices. In 1976 the 2" wafer p-channel metal gate prototyping line was operational. Joint development teams were established with American Micro Systems, Int. Microelectronic Products and with Austria Mikro Systeme Inc. In some areas the achievements of the Laboratory were at the leading edge of IC design and design methodology. PRESENT ACTIVITIES IN RESEARCH, DEVELOPMENT AND TEACHING IN MICROELECTRONICS CMOS & BiCMOS submicron process modules development Industrial ASIC design Design and analysis of complex electronic systems and microsystems Development of new design methodologies and CAD tools for mixed analog-digital signals RESEARCH STAFF: total 21 13 PhDs with average 15 years of experience 3 MS senior designers 5 experienced engineers and technicians RESEARCH FACILITIES 400 m2 clean room area for experimental submicron CMOS & BiCMOS process 1000 m2 floor space for technology support assembly and design, mask shop and test laboratory MAJOR ACHIEVEMENTS Joint development of submicron CMOS, BICMOS process modules with International Microelectronic Products, San Jose, California Design of modern telecom circuits Design of precise instrumentation ASICs (fully integrated Hall effect Watt-hour meter, 16 bit absolute encoder for space application, etc.) Automotive ASICs (single wire data bus receiver-transmitter, ABS subcircuits, etc.) Design methodology and supporting CAD for automatic synthesis of analog subcircuits Laboratory for Microelectronics Faculty of Electrical Engineering University of Ljubljana Tržaška 25 1000 Ljubljana Slovenia 69 Informacije MIDEM 32(2002)1, Ljubljana KONFERENCA MIDEM 2002 - PRVO OBVESTILO MIDEM 2002 CONFERENCE - Announcement and Call for Papers 38th INTERNATIONAL CONFERENCE ON MICROELECTRONICS, DEVICES AND MATERIALS and the WORKSHOP on PACKAGING AND INTERCONNECTIONS IN ELECTRONICS ORGANIZER CONFERENCE 2002 Slovenia Chapter Announcement and Call for Papers October 09. - 11. 2002 Lipica, SLOVENIA http://paris.fe.uni-lj.si/midem/conf2002/ MIDEM - Society for Microelectronics, Electronic Components and Materials Dunajska 10, 1000 Ljubljana, SLOVENIA CONFERENCE SPONSORS $ Elektrotehniška Zveza Slovenije Slovenia Section Ministry of Education, Science and Sport, Republic of Slovenia IMAPS, Slovenia Chapter IEEE, Slovenia Section CONFERENCE PROGRAMME COMMITTEE Marija Kosec, Jožef Stefan Institute, Ljubljana, Slovenia, chairperson Darko Belavič, Jožef Stefan Institute, Ljubljana, Slovenia, cochairperson Marko Hrovat, Jožef Stefan Institute, Ljubljana, Slovenia Slavko Amon, Faculty of Electrical Engineering, Ljubljana, Slovenia Lojze Trontelj, Faculty of Electrical Engineering, Ljubljana, Slovenia Marko Topic, Faculty of Electrical Engineering, Ljubljana, Slovenia Cor Claeys, IMEC and KU Leuven, Belgium Gerhard W.Herzog, Technische Universitaet, Graz, Austria Bruno Cvikl, Faculty of Civil Engineering, Maribor, Slovenia Miloš Komac, Ministry of Education, Science and Sport, Republic of Slovenia Helmut Stiebig, Institute of Photo voltaic, Jülich, Germany Peter Panjan, Jožef Stefan Institute, Ljubljana, Slovenia Stane Pejovnik, Faculty for Chemistry and Chemical Technology, Ljubljana, Slovenia Wolfgang Pribyl, Austria Mikro Systeme Intl.AG, Graz, Austria 70 Informacije MIDEM 32(2002)1, Ljubljana Nava Setter, Ecole Polytechnique Federal de Lausanne, Lausanne, Switzerland Giovanni Soncini, University of Trento, Trento, Italy Giorgio Pignatel, University of Trento, Trento, Italy Iztok Sorli, MIKROIKS d.o.o., Ljubljana, Slovenia Jiri Tousek, Charles University, Prague, Czech Republic Anton Zalar, ITPO, Ljubljana, Slovenia Milos Somora, Technical University, Kosice, Slovakia Leszek J.Golonka, Technical University, Wroclaw, Poland Zsolt Vitez, Technical University, Budapest, Hungary Monika Jenko, Institute for Metals and Technology, Ljubljana, Slovenia CONFERENCE ORGANIZING COMMITTEE Meta Limpel, MIDEM, Ljubljana, Slovenia, Danjela Kuščer Hrovatin, Jožef Stefan Institute, Ljubljana, Slovenia Janez Hole, Jožef Stefan Institute, Ljubljana, Slovenia Iztok Šorli, MIKROIKS d.o.o., Ljubljana, Slovenia GENERAL INFORMATION The 38th International Conference on Microelectronics. DEvices and Materials, MIDEM 2002, continues the tradition of annual international conferences organized by the MIDEM Society. These conferences have always attracted a large number of Slovene and foreign experts working in these fields. The topics covered by the conference are quite diverse, and presenting about 60 papers in five sessions over three days seems rather demanding. However, once a year scientists and engineers have the opportunity to present their work to the international public and to meet and discuss trends, news and problems related to their field of work. We believe that this at least balances the effort required by the attendees and the organizer. The conference is well known in the electronics community. Hundreds of distinguished scientists from all over the world have taken part in previous MIDEM conferences. The goal of establishing contacts, collaboration and friendship among scientists and their companies remains the main aim for the organizer. Therefore, you are kindly invited to take part in the forthcoming: 38lh International Conference on Microelectronics, Devices and Materials - MIDEM 2002 Conference The conference will be held in Hotel Klub, Lipica, Slovenia, October 09 - 11, 2002 ORIGINAL PAPERS RELATING TO THE FOLLOWING AREAS ARE INVITED FOR SUBMISSION : - Novel monolithic and hybrid circuit processing techniques - New device and circuit design - Process and device modeling - Semiconductor physics - Sensors and detectors - Electromechanical devices - Microsystems - Optoelectronics - Photovoltaic devices - New electronic materials and applications - Electronic materials science and technology - Materials characterization techniques - Reliability and failure analysis - Education in microelectronics, devices and materials WORKSHOP on PACKAGING AND INTERCONNECTIONS IN ELECTRONICS Begining in 1998, workshops dedicated to a special field were incorporated to the programme of the MIDEM Conferences. During the workshop, five to seven invited speakers present papers on the chosen topics from different aspects within their special field, thus offering the audience valuable information. Time for thorough discussions is provided between invited presentations. Conference attendees are encouraged to present their research results in the Conference session dealing with the dedicated topic. Attendance at the workshop is included in the Conference registration fee. For the year 2002, we are pleased to announce a Workshop on PACKAGING AND INTERCONNECTIONS IN ELECTRONICS Two recent reports (W. Custer and R. Tummala) suggest that the global electronics industry was worth about 1.2x 1012 USD in the year 2000, and that the world electronics market is expected to double in size within a 71 Informacije MIDEM 32(2002)1, Ljubljana decade, making it the biggest single market in the world economy. More than 70% of this market belongs to hardware; and the semiconductor market accounts for approximately 23% of hardware. The largest and the most important part of the hardware market is related to the processing of information (electronic signal), which is responsible for approximately 35% of hardware. The total information-processing market includes the packaging (interconnection and assembling) market, which makes up about 44% of this segment. Therefore it can be concluded that packaging, interconnection, and assembling are important technologies in the electronic industry. The general technology trends in this segment are towards miniaturisation, cost reduction, microsystems, integration, higher reliability, application on the technology borders, ecological friendly materials and processes, etc. There are also tendencies towards fast prototyping, new education programs, trans-institution co-operation etc. Most of these aspects will be presented and discussed on the workshop. The workshop is co-organized by Jožef Stefan Institute The programme committee is pleased to announce the following invited speakers, who will give their presentations on the following subjects: Janusz Ptak, Hybrid Microelectronics Specialist, Angerville, France Business and Technology Chalanges in Electronics Industry in the Early 21st Century The end of the 20th century has been characterized by a rapid technological evolution and very promising consumption behaviour. The growth for the coming years seemed to be granted due to the spectacular development of communications and information technologies. Unfortunately the "wake-up" in 2001 was very cruel. Almost all electronics sectors have been severely affected by the implosion of communications market and consequently the biggest downturn in the history of electronics industry. During these tough times, the challenges faced in electronic and microelectronic sectors became even more crucial than before. The progress will certainly continue in the future but the economy is much more difficult and in these conditions only the most dynamic, the most flexible or the most diversified companies able to continue to develop new technologies and to serve different markets will survive. Despite this recent recession, the coming years will be the years of extraordinary development of personal, data and wireless communications requiring hand-held, nomadic products and asking for more complex and intelligent integrated circuits. It will require a very strong miniaturisation, an increase of working frequency and a significant cost reduction. High performance, high volume, low cost and a very short time-to-market are the main drivers. In the presentation we will try to find out what are the business, technology and economic challenges in face of electronics companies, considering trends in a few major areas: technology, processing, packaging, design & production process, technical knowledge, company strategy and industry structure. The evolution in major market segments (communications, automotive, industrial, home entertainment) and in microelectronics technology (RF, electro-optical components, MEMS) will be described and illustrated by a number of figures and diagrams and challenges related to technical performances, quality, cost, mass production and time-to-market, faced by electronics companies, will be highlighted. Paul Svasta, Virgil Golumbeanu, Ciprian lonescu Department of Electronic Technology and Reliability, Center for Technological Electronics and Interconnection Techniques, Politehnica University of Bucharest, Romania Electronic Passive Components Training Activity- Demand for Performance Electronic Package Development Beside the active electronic components the passive ones are subject to continuing developments. It is difficult to imagine the dynamics of electronic products without the proper "support" of passives. In a way it is possible to say: "Today the passives are very active!". More and more requirements are coming from end users, the equipment developers. In the same time new electronic packaging technology ask for new feature of components. These features must be seen in a large approach that includes electrical, mechanical, thermal, technological, and other points of view. This huge amount of knowledge must be appropriate for the packaging engineers. It is expected that in the near future the demand of such specialists will dramatically increase. Today's exciting new products - including cell phones, laptop computers and personal data assistance - will change the way we live. These products are known for their portability, ease of use, small size and continuing increased performance. Every one of such products uses passive components in one form or another. In fact it is difficult to nominate an electronic product without electronic passive components insides. With many different capabilities and unique performance characteristics available, design engineers can use passive components to address their design challenges like: power handling, ultra high stability, current sensing, low thermal deviation, pulse handling, influence of frequency, 72 Informacije MIDEM 32(2002)1, Ljubljana etc. By matching the right passive component technology to the design requirements, during the development, the engineer can optimise the overall product. The paper will be analysing some aspects of electronic packaging education focused on the most usual electronic passive components. The influence of parasitics to impedance of passive components at high frequency will be highlighted . One of the main problems for engineer takes into account the proper behaviour of the passive components included in the electronic circuits. The impedance of passive components will be analysed according to technology, material, structure and geometry. The computed results will be compared to the experimental ones. Jutta Mueller, Hansjoerg Griese, Herbert Reichl*, K.-H. Zuber* Fraunhofer Institut für Zuverlässigkeit und Mikrointegration, Berlin, Germany *Technical University of Berlin, Berlin, Germany Lead-free Interconnection Technology and the Environment Trends of growing consumption, decreasing product lifetime and new application fields in nearly all industry segments lead to an enormous increase of electronics products. The production, use as well as the end of life treatment of these products cause considerable environmental impacts. To prevent damages to human health and the environment, an economic growth in a sense of sustainable development has to be realised and new products and process technologies should prove that they contribute to the solution of global environmental issues. In the presentation the interconnection systems including technologies, printed circuit board and component finishes as well as solders in PCB assembly will be discussed in correlation to their environmental behaviour. At the present time a ban of the toxic element lead for the interconnection systems is planned in the EU and a change to lead-free soldering takes already place. However, the new technologies should not induce new environmental borders. Therefore an ecological assessment was carried out in regards to the whole life cycle. That means that all life phases of the solder as well as of the surface finishes are taken into consideration. From an environmental point of view the energy demand is critical in most of the lead free soldering processes. This has to be minimised. Furthermore surface finishes with nickel should be avoided. Paul Collander, Nokia Networks, Espoo, Finland Packaging and Interconnect for RF and Microwave The presentation will review different packaging and interconnect issues in the telecom market where RF properties dominate. A short review of single chip packages for different frequency ranges will be followed by a deeper view into multichip modules of different constructions. Last the growing importance of passives and the opportunities to integrate them will be discussed. The view is from a system house that needs to source or subcontract all components and most assemblies. For RF chips, the electrical performance is dominating construction and assessment work. The aim is to avoid loosing signal strength, avoid electromagnetic interference and still keep the chip cool enough during all use circumstances. For Micro- and Millimeter- wave chips many semiconductor manufacturers are only now starting to consider delivery in single chip packages and performance is generally specified on chip level. Reduced bond wire length packages are now developed. Multichip Modules have continuously had a stronghold in RF and High-speed packaging but with the fast growing volumes of RF for Telecom the price pressure is multiplied and very different solutions are needed. Work is ongoing simultaneously on substrate technologies, chip attaches and wiring, reliability without hermeticity and last but not least MCM to board second level interconnect reliability and performance. With the higher integration rate on chips follows a larger number of accompanying passives. In RF and analog functions passives dominate. In spite of low cost of passive components their logistics and assembly add to manufacturing cost. As most solder joints in a traditional assembly are for passives their theoretical impact on reliability is big. Both high-speed performance and substrate space can be greatly improved with integrated passives. LTCC has been analysed as a solution for RF MCM-C with integrated passives. A. Dziedzie, L.J. Golonka, J. Kita, T. Zawada Wroclaw University of Technology, Faculty of Microsystem Electronics and Photonics, Wroclaw, Poland LTCC in Microsystems Applications Low Temperature Cofired Ceramic Technology (LTCC) is known for many years. Multilayer LTCC modules with conduction lines were made at the beginning. Passive integrated elements (MCIC) were added after some years. Recently, the LTCC structure consists of conduction lines, passive elements and microsystems (sensors, cavities, and actuators). Moreover, MEMS package is made very often from LTCC ceramics. 73 Informacije MIDEM 32(2002)1, Ljubljana The general information on the technology as well as LTCC microsystems design and properties will be presented. Moreover, the detailed information on microsystems made at Wroclaw University of Technology will be given. Marko Hromi, Darko Belavic *, Marko Pavlin *, Janez Hole Jožef Stefan Institute, Ljubljana, Slovenia *HIPOT-R&D, Šentjernej, Slovenia Diffusion-patterning: One of the Thick-film Interconnections Technologies Diffusion patterning is a dielectric patterning technology, which is used in the screen-printed thick film technology for higher density multilayer circuits. This technology is suitable for producing lower cost multichip modules and requires a low additional investment in conventional thick-film technology production lines. Comparisons of via resolution capability of diffusion patterning versus conventional thick film technology are described and discussed. Preliminary experimental results obtained with a test circuit showed that 200 mm lines and 200 mm vias could be achieved with acceptable yield and with minimal modification to standard production lines. The electronic circuit for the pressure sensor was designed and realised with the verified technology as a low-cost ceramic multichip module. A few results of an investigation of some thick film materials, which comprise the "set" of pastes for diffusion patterning technology, are presented. Based on the obtained results the design rules have been determined. The electronic circuit for the pressure sensor was designed with the advantages of semi-custom ASIC for signal processing and realised with verified technology as a low-cost ceramic multichip module. Horatio Quinones Asymtek Headquarters, Carlsbad, CA, USA Speaker will present a short overview with fundamentals on electronic packages, and his latest work in the area of material dispensing including jetting technologies for advanced packages. Wim Hamersma, Phycomp, Roermond, The Netherlands Passive Components in Wireless Communication Applications Different passive electronic components (HF antennas, HF filters, capacitors, Resistors, and HF inductors) for high frequency applications will be presented. The application of HF antennas will be illustrated with one of the world's smallest Bluetooth/WLAN antennas. The ceramic multilayer technology enables the dramatically cutting the size of surface-mount antennas. Measuring just 7.8 x 3.6 x 0.9 mm, the new antenna offers a high gain of 2.5 dB and broad bandwidth up to 100 to 200 MHz for use in the 2.4 GHz ISM band. The dramatic size reductions have come about from new developments in high frequency technologies and computer-aided RF design software that allows development engineers to minimize antenna volume without compromising RF performance. CONFERENCE PROCEEDINGS_ Invited and contributed papers will be published in the Conference Proceedings and distributed at the Conference registration. LANGUAGE The official Conference language is English. IMPORTANT DATES Abstract deadline: June 15lh Notification of acceptance: June 30lh Preliminary Program: September 25th Only on Conference Web page http://paris.fe.uni-li.si/midem/conf2002/ Paper deadline: September 10th Final conference program: on registration, October 09th Please, see instructions for ABSTRACT and PAPER submission on our WEB page ACCOMODATION The Conference will take place in the Hotel Klub, Lipica, Slovenia. Please send your room reservations indicating " for MIDEM 2002 Conference", directly to: Hotel Klub, Kobilarna Lipica Lipica 5 6210 Sežana Slovenia To the attention of: Mr. Saša Stanko, sales Tel: +386 (0)5 7391 708, Fax: +386 (0)5 7346 370 e-mail: lipica.sasa.stanko@siol.net SOCIAL EVENTS The Conference dinner will be held on Thursday, October 10 at 20:00. REGISTRATION The registration fees are as follows: - FULL registration fee: 200 EUR (*) - MIDEM Society members, MIDEM sponsors: 150 EUR The fee includes Conference Proceedings and free access to all Conference events (Conference dinner). (*) Full registration fee (applies to non MIDEM soci- 74 Informacije MIDEM 32(2002)1, Ljubljana ety members ONLY) includes a two year full MIDEM Society membership. Undergraduate students have free access to all Conference sessions on submitting their study papers. For other Conference events there will be an additional charge. Accompanying persons who will not take part in the conference may join other conference events at an extra charge (DINNER: 30 EUR). Programme and Organizing Committee, MIDEM 2002 Conference MIDEM at MIKROIKS Mrs Meta Limpel Stegne 11 1521 Ljubljana, SLOVENIA tel.: +386 (0)1 511 22 21, fax: +386 (0)1 511 22 17 e-mail: Iztok.Sorli@guest.arnes.si Conference Web page: http://paris.fe.uni-li.si/midem/conf2002/ Contact person for the Workshop on PACKAGING AND INTERCONNECTIONS IN ELECTRONICS Darko Belavič, workshop chairperson c/o Jožef Stefan Institute Ljubljana Jamova 39, 1000 Ljubljana, Slovenia tel.+386 (0)1 477 34 79 , fax.+386 (0)1 426 31 26 e-mail: darko.belavic@ijs.si 75 Informacije MIDEM 32(2002)1, Ljubljana Informacije MIDEM Strokovna revija za mikroelektroniko, elektronske sestavne dele in materiale NAVODILA AVTORJEM Informacije MIDEM je znanstveno-strokovno-društvena publikacija Strokovnega društva za mikroelektroniko, elektronske sestavne dele in materiale - MIDEM. Revija objavlja prispevke domačih in tujih avtorjev s področja mikroelektronike, elektronskih sestavnih delov in materialov, ki so lahko: izvirni znanstveni članki, pregledni znanstveni članki, predhodne objave, strokovni članki ter predavanja in povzetki s strokovnih posvetovanj. Strokovni prispevki bodo recenzirani. Revija objavlja tudi aplikacijske članke, poljudne članke, novice iz stroke, vesti iz delovnih organizacij, inštitutov in fakultet, obvestila o akcijah društva MIDEM in njegovih članov ter druge prispevke. Strokovni prispevki morajo biti pripravljeni na naslednji način: 1. Naslov dela, imena in priimki avtorjev brez titul, imena institucij in firm. 2. Ključne besede in povzetek (največ 250 besed). 3. Naslov dela v angleščini. 4. Ključne besede v angleščini (keywords) in podaljšani povzetek (Extended Abstract) v angleščini. 5. Uvod, glavni del, zaključek, zahvale, dodatki in literatura v skladu z IMRAD shemo (Introduction, Methods, Results and Discussion). 6. Polna imena in priimki avtorjev s titulami, naslovi institucij in firm, v katerih so zaposleni ter Tel./Fax/Email podatki. Ostala splošna navodila 1. V članku je potrebno uporabljati SI sistem enot oz. v oklepaju navesti alternativne enote. 2. Risbe je potrebno izdelati ali iztiskati na belem papirju. Širina risb naj bo do 7.5 oz. 15 cm. Vsaka risba, tabela ali fotografija naj ima številko in podnapis, ki označuje njeno vsebino. Risb, tabel in fotografij ni potrebno lepiti med tekst, ampak jih je potrebno ločeno priložiti članku. V tekstu je treba označiti mesto, kjer jih je potrebno vstaviti. 3. Delo je lahko napisano In objavljeno v slovenščini ali v angleščini. 4. Uredniški odbor ne bo sprejel strokovnih prispevkov, ki ne bodo poslani v dveh izvodih. 5. Avtorji, ki pripravljajo besedilo v urejevalnikih besedil lahko pošljejo zapis datoteke na disketi (3.5"/1.44 MB/) v formatih ASCII ali Word for Windows 6.0, ker bo besedilo oblikovano v programu Ventura 5.0. Grafične datoteke so lahko v formatu TIFF, EPS, PCX, GEM ali JPG. Avtorji so v celoti odgovorni za vsebino objavljenega sestavka. Rokopisov ne vračamo. Rokopise pošljite na naslov: Uredništvo Informacije MIDEM MIDEM pri MIKROIKS Stegne 11, 1521 Ljubljana Slovenija Email: lztok.Sorli@guest.arnes.si Tel. 01 511 22 21, fax. 01 511 22 17 Informacije MIDEM Journal of Microelectronics, Electronic Components and Materials INFORMATION FOR CONTRIBUTORS Informacije MIDEM is a professional-scientific-social publication of Professional Society for Microelectronics, Electronic Components and Materials - MIDEM. In the Journal contributions of domestic and foreign authors are published covering the field of microelectronics, electronic components and meteríais. These contributions may be: original scientific papers, review scientific papers, preleminary communications, professional papers, conference papers and abstracts. All professional contributions are subject to reviews. Applications articles, scientific news, news from the companies, institutes and universities, reports on actions of MIDEM Society and its members as well as other relevant contributions are also welcome. Each professional contribution should include the folowing specific components: 1. Title of paper, authors names, name of the institution/ company. 2. Key Words and Abstract (not more than 250 words). 3. Introduction, maintext, conclusion, acknowledgements, appendix and references following the IMRAD scheme (Introduction, Methods, Results and Discussion). 4. Full authors' names, titles and complete company or institution address including Tel./Fax/E-mail. COMMENT: Slovenian authors who write in English language must submit title, abstract and key words also in Slovene language. General informations 1. Authors should use SI units and provide alternative units in parentheses wherever necessary. 2. Illustrations should be in black on white paper. Their width should be up to 7.5 or 15 cm. Each illustration table or photograph should be numbered and with legend added. Illustrations tables and photographs are not to be placed into the text but added separately. However, their position in the text should be clearly marked. 3. Contributions may be written and will be published in Slovene language. 4. Papers will not be accepted unless two copies are recived. 5. Authors may send their files on formated diskettes (3.5"/ 1.44 mb/) in ASCII or Word for Windows 6.0 format as text will be formatted In Ventura 5.0. Graphic files may be in TIFF, EPS, PCX, GEM or JPG formats. Authors are fully responsible for the content of the paper. Manuscripts are not refunded. Contributions are to be sent to the address: Urednitvo Informacije MIDEM MIDEM at MIKROIKS Stegne 11, 1521 Ljubljana Slovenia Email: lztok.Sorli@guest.arnes.si Tel. +386 1 511 22 21, fax. +386 1 511 22 17 76