UDK 621.3:(53+54+621 +66)(05)(497.1 )=00 YU ISSN 0352-9045 Strokovno društvo za mikroelektroniko elektronske sestavne dele in materiale •Ti Časopis za mikroelektroniko, elektronske sestavne dele in materiale Časopis za mikroelektroniku, elektronske sastavne dijelove i materijale Journal of Microelectronics, Electronic Components and Materials INFORMACIJE MIDEM, LETNIK 20, ST. 4(56), LJUBLJANA, DECEMBER 1990 gorenje[p(oM KRONOS C sistem za beleženje delovnega časa! j INFORMACIJE MIDEM 4 ° 1990 INFORMACIJE MIDEM LETNIK 20, ŠT. 4(56), LJUBLJANA, DECEMBER 1990 INFORMACIJE MIDEM GODINA 20, BR. 4(56), LJUBLJANA, DECEMBAR 1990 INFORMACIJE MIDEM VOLUME 20, NO. 4(56), LJUBLJANA, DECEMBER 1990 Izdaja trimesečno (marec, junij, september, december) Strokovno društvo za mikroelektroniko, elektronske sestavne dele in materiale. Izdaja t romjesečno (mart, jun, septembar, decembar) Stručno društvo za mikroelektroniku, elektronske sastavne dijelove i materiale. Published quarterly (march, june, september, december) by Society for Microelectronics, Electronic Components and Materials -MIDEM. Glavni in odgovorni urednik Glavni i odgovorni urednik Editor in Chief Tehnični urednik Tehnički urednik Executive Editor Uredniški odbor Redakcioni odbor Editorial Board Časopisni svet Izdavački savet Publishing Council Naslov uredništva Adresa redakcije Headquarters Iztok Šorli, dipl. ing. MIKROIKS, Ljubljana Janko Čolnar mag. Rudi Babič, dipl. ing. Tehniška fakulteta Maribor Dr. Rudi Ročak, dipl. ing., MIKROIKS, Ljubljana mag. Milan Slokan, dipl. ing., MIDEM, Ljubljana Zlatko Bele, dipl. ing., MIKROIKS, Ljubljana Miroslav Turina, dipl. ing., Rade Končar, Zagreb Jože Jekovec, dipl. ing., Iskra ZORIN, Ljubljana Prof. dr. Leo Budin, dipl. ing., Elektrotehnički fakultet, Zagreb Prof. dr. Dimitrije Čajkovski, dipl. ing., PMF, Sarajevo Prof. dr. Georgij Dimirovski, dipl. ing., Elektrotehnički fakultet, Skopje Prof. dr. Jože Furlan, dipl. ing. - Fakulteta za elektrotehniko, Ljubljana Franc Jan, dipl. ing. - Iskra-HIPOT, Šentjernej Prof. dr. Drago Kolar, dipl. ing.v- Institut Jošef Štefan, Ljubljana Ratko Krčmar, dipl. ing., Rudi Čajavec, Banja Luka Prof. dr. Ninoslav Stojadinovič, dipl. ing. - Elektronski fakultet, Niš Prof. dr. Dimitrije Tjapkin, dipl. ing. - Elektrotehnički fakultet, Beograd Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Titova 50, 61000 Ljubljana telefon (061) 316-886 Letna naročnina za delovne organizacije znaša 560,00 din, za zasebne naročnike 280,00 din, cena posamezne številke 70,00 din. Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Godišnja predplata za radne organizacije iznosi 560,00 din, za privatne naručioce 280,00 din, cijena pojedinog broja je 70,00 din. Članovi i sponzori MIDEM primaju Informacije MIDEM besplatno. Annual Subscription Rate is US$ 40 for companies and US$ 20 for individuals, separate issue is US$ 6. MIDEM members and Society sponsors receive Informacije MIDEM for free. Znanstveni svet za tehnične vede I je podal pozitivno mnenje o časopisu kot znanstveno strokovni reviji za mikroelektroniko, elektronske sestavne dele in materiale. Izdajo revije sofinancirajo Republiški komite za raziskovalno dejavnost in tehnologijo in sponzorji društva. Znanstveno-strokovne prispevke objavljene v Informacijah MIDEM zajemamo v domačo bazo podatkov - ISKRA SAIDC-el, kakor tudi v tujo bazo podatkov - INSPEC. Po mnenju Republiškega komiteja za informiranje št. 23 z dne 27. 9. 1988 je publikacija oproščena plačila davka od prometa proizvodov. Mišljenjem Republičkog komiteta za informiranje br. 23 od 27. 9. 1988 publikacija je oslobodena plačanja poreza na promet. Oblikovanje besedila in tisk BIRO M, Ljubljana Oblikovanje stavka i štampa Printed by Naklada Tiraž Circulation 1000 izvodov 1000 primjeraka 1000 issues UDK 621,3:(53+54+621 +66),ISSN 0352-9045 Informacije MIDEM 20(1990)4,Ljubljana R.Ročak: Rumeni karton 184 R.Ročak: Yellow card ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS P. Habaš, S. Selberherr: Vpliv nedegenerirane krmilna elektrode na delovanja submikronskih MOS tranzistorjev 185 P. Habaš, S. Selberherr: Impact of the Non-Degenerate Gate Effect on the Performance of Submicron MOS Devices Dejan Križaj: Modeliranje zapornih lastnosti PN struktur 189 Dejan Križaj: Two Dimensional Modeling of Reverse Biased PN Structures F. Mihalič, M. Mllanovlč, K. Jezernik: Modeliranje MOSFET tranzistorjev za program SPICE 193 F. Mihalič, M. Milanovič, K. Jezernik: Modeling MOSFET Transistors with SPICE Program M. Klanjšek-Gunde, B. Aleksandrov: Tankoplastni silicijevi oksidi, III. Valenčno nihanje povezovalnega kisika kot detektor razlik v plasteh 201 M. Klanjšek-Gunde, B. Aleksandrov: Silicon Oxide Films, III. Si-O-Si Bond Stretching Vibration as a Detector of Differences among Films B. Saje, J. Hole, S. Beseničar: Nove tehnologije za izdelavo Fe-Nd-B magnetov 205 B. Saje, J. Hole, S. Beseničar: New Technologies for Producing Fe-Nd-B Magnets Z.Bele: GALi - Generične logične mreže, lll.del 211 Z.Bele: GALs - Generic Array Logic, Part III. PRIKAZI DOGODKOV, DEJAVNOSTI ČLANOV MIDEM IN DRUGIH INSTITUCIJ REPRESENT OF EVENTS, ACTIVITIES OF MIDEM MEMBERS AND OTHER INSTITUTIONS Izbor profilometrov firme Tencor Instruments 216 The Line of Stylus Surface Profilers from Tencor Instruments KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA CONFERENCES, COLLOQUYUMS, SEMINARS, REPORTS M. Slokan: SD 90 228 M. Slokan: SD 90 ■ D. Ročak: Poročilo o sodelovanju na ISHM-ITALY WORKSHOP 228 D. Ročak: ISHM-ITALY WORKSHOP Report B. Saje: Poročilo s seminarja "NATO-ASI, Supermagnets, Hard Magnetic Materials" 230 B.Saje: NATO-ASf, Supermagnets, Hard Magnetic Materials - Seminar Report PREDSTAVLJAMO DOZ NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE D. Šulek: GORENJE POINT 231 D. Šulek: GORENJE POINT VESTI, OBVESTILA 233 NEWS, INFORMATION KOLEDAR PRIREDITEV 233 CALENDAR OF EVENTS JUGOSLOVANSKI TERMINOLOŠKI STANDARDI YUGOSLAV TERMINOLOGICAL STANDARDS Slika na naslovnici : GORENJE POINT, KRONOS - Sistem za beleženje delovnega časa Front page : GORENJE POINT, KRONOS - Time Registration System RUMENI KARTON Uredništvo časopisa "Iskra", kije od letos "neodvisen" iskrin časopis, je napisalo v svoji 4. številki: "Tisto,kar resnično ogroža vaš in naš časopis pa je mentaliteta nekaterih naših direktorjev, ki menijo, da siga (oni?) ne morejo privoščiti (H?)." V nadaljevanju pa: "Demagoški direktorji, ki svoje zaposlene lažno zavajajo, da si glasila "ne morejo privoščiti" tvegajo ugotovitev - če si ga namreč zares ne morejo privoščiti, da so svoja podjetja že pripeljali tako daleč, da si tudi ta ne morejo več "privoščiti" takšnih direktorjev". Verjetno se sprašujete kakšno zvezo ima ta citat z društvom Ml DEM? Iskra, oziroma razna njena podjetja so v preteklosti močno podpirala delovanje društva MIDEM. Razumevanje iskrinih direktorjev, ki so finančno (pa čeprav z relativno majhnimi posameznimi zneski) pomagali društvu, je omogočilo redno izhajanje našega časopisa, ki ga imate letos četrtič v svojih rokah. Tokrat ne po zaslugi teh direktorjevi Letos časopis financiramo v veliki meri s finančno uspešnimi posvetovanji. Mnogi člani so opazili, nekateri pa kar čutili skoraj neusmiljeno strogost ob registraciji na posvetih. Lista sponzorjev na zadnji strani časopisa je sicer še precej dolga, vendar so že redka podjetja, ki so časopis resnično tudi sofinancirala. Tem velja zahvala, direktorjem podjetij, ki so "pozabili" na sponzorstvo pa moramo podobno, kot je naredilo uredništvo časopisa "Iskra", dvigniti rumeni karton. Rumeni karton zaslužijo tudi tisti naši člani, v stroki pomembne osebnosti izven Slovenije, ki že nekaj let kljub pogostim dogovorom še niso uredili v svojem okolju formalno priznanje našemu časopisu kot osrednji jugoslovanski reviji s področja delovanja društva, kar de facto tudi je. Slovenska raziskovalna skupnost že nekaj let sofinancira časopis, vendar z minimalnimi možnimi sredstvi (v letu 1990 vsega 12.000 din, kar je približno strošek ene četrtine ene številke). Že nekajkrat smo zaprosili za povišanje dodeljenih sredstev, vendar brezuspešno. Letos smo ugotovili, da smo bili deležni "pomoči" spoštovanega člana našega Izvršnega odbora. Njegovo mnenje, da je osrednja revija za mikroelektroniko Elektrotehniški Vestnik, pa zato naj bi Raziskovalna skupnost eventuelna dodatna sredstva namenila temu časopisu (nekako citirano iz zapisnika) je komisija za dodelitev sredstev tudi sprejela in MIDEM je ostal praznih rok. Naj pokažemo temu kolegu rumeni, ali pa kar rdeči karton? Upajmo, da bomo v naslednjem letu deležni čim manj takšnih "pomoči", da bomo kot vsa leta doslej uspeli zadržati med posameznimi člani pa čeprav v konkurenčnih podjetjih, korekten, kolegialen odnos, ki je vedno krasil profesionalnost strokovnjakov na našem področju. Kvaliteto časopisa želimo še izboljšati in izpeljati predvidena posvetovanja. To so želje za nas, vam, ki praznujete božič želimo vesela verska in družinska praznovanja, vsem pa srečno novo leto in veliko delovnih uspehov skozi vso 1991 leto. Predsednik društva MIDEM 184 UDK 621.3:(53+54+621 +66), ISSN 0352-9045 Informacije MIDEM 20(1990)3, Ljubljana IMPACT OF THE NON-DEGENERATE GATE EFFECT ON THE PERFORMANCE OF SUBMICRON MOS-DEVICES Predrag Habas and Siegfried Selberherr KEY WORDS: MOS-device, numerical simulation, implanted gate, non- degenerate gate ABSTRACT: In order to analyze implanted polysilicon-gate devices our simulator MINI-MOS has been extended to solve the basic semiconductor equations also in the poly-gate area self- consistently. Heavy doping effects in the gate have been taken into account. The impact of the activated impurity concentration in the gate near the oxide and the charge at the gate / oxide interface on the performance of deep submicron (thin oxide) MOSFETs is studied by means of numerical simulation. VPLIV NEDEGENERIRANE KRMILNE ELEKTRODE NA DELOVANJE SUBMIKRONSKIH MOS TRANZISTORJEV KLJUČNE BESEDE: MOS tranzistor, numerična simulacija, implantirana krmilna elektroda, nedegenerirana krmilna elektroda POVZETEK: MINI-MOS simulator smo razširili na reševanje osnovnih polprevodnih enačb v področju same polisilicijeve krmilne elektrode s čimer smo omogočili analizo tranzistorjev s krmilno elektrodo dopirano z implantacijo. Pri razširitvi smo upoštevali efekte močnega dopiranja v krmilni elektrodi. Z numerično simulacijo smo študirali vpliv aktiviranih dopantov v elektrodi blizu oksida in vpliv naboja na meji elektroda / oksid na delovanje submikronskih MOSFET tranzistorjev s tankim krmilnim oksidom. 1. Introduction Implanted gate MOS-devices have become common in submicron technologies. Usually,. N-gates are used in N-channel and P-gates in P-channel devices'1 ■2'3). Due to the segregation of phosphorus and arsenic at grain boundaries in polysilicon' ', the activation of the impurities in N-gates can be very low after annealing (which depends on the technological process e.g. type of impurity, grain size, annealing cycle'5'). In P-gates the chemical concentration at 1 - 2 ■ 1019cm"3 in polysilicon for TaSi'2/polysilicon gate structures has been reported in(6). Moreover, the temperature for the annealing of P-gates gas to be limited (in order to avoid the boron penetration'7'. In spite of the absence of the boron segregation at grain boundaries'4', the final activated impurity concentration in P-gates can be low, too. A shift of the high-frequency C-V curve'1' as well as the degradation of (the inversion part of) the quasi-static C-V curve'2,3' has been experimentally observed in implanted poly-gate devices. The latter effect suggests a reduction of the drain current of implanted gate devices in comparison with their degenerate-gate counterparts. Consequently, the driving capabilities of devices and the speed of circuits are reduced. These experimental findings have been related to a non-degenerate position of the Fermi level in poly-gate and depletion in the poly-gate due to the penetration of the electric field into the gate. An additional severe effect in P-gates is boron penetration'7'. The implanted poly-gate can no longer be assumed an aquipotential area, especially in modeling of thin oxide devices. An analytical model of thin oxide devices, which accounts for the potential drop in poly-gate, has been presented elsewhere"8' and its references>. In this paper, the numerical modeling of the poly-gate effect is presented, and this enables us to account for realistic doping profiles and 2D effects in submicron devices. 2. Physical model The simulator MINIMOS 5 has been extended to solve self- consistently the basic semi-conductor equations also in the poly- gate area (Including fully non-planar devices). Poisson's eqution is solved in the total simulation area (from yt until yB - Fig.1.). yt. VG' 0 - w, I'OLY-G ATli ' ! I IjJMJL m VB' Figure 1: Simulation area For the continuity equations two approaches have been implemented'9'. In the first one, both discretized continuity equations are solved in the poly-gate simulta- 185 Informacije MIDEM 20(1990)4, str. 185-188 P. Habas, S. Selberherr: Impact of the Non-Degenerate Gate Effect on the Performance of Submicron MOS-Devices neously with the bulk area (from yG until yB - Fig.1.). This approach is interesting forthe transient simulation, however a proper modeling of mobility and generation-recombination phenomena in polysilicon (e.g. grain boundary recombination) is necessary. We restrict ourselves to steady-state condition in this paper. The poly-gate, is then in thermodynamic equilibrium (net recombination vanishes and leakage currents are negligible). A unique and constant Fermi level exists in the poly gate, which enables the carrier concentrations to be calculated analytically as a function of the local potential H'. In such an approach the discretization error of the continuity equation (specially at the non-planar gate/oxide interface -Fig.1) is avoided. This approach permits that band gap narrowing and Fermi-Dirac statistics can be implemented in a simpler way than in the first. A rigid-parabolic-band model is assumed to hold at the doping concentrations of interest. It follows then p (¥) = NvFVz n CV) = NcFv2 y-Tc + Ofc+gEc-SEcG UT UT where Nc, Nv are the effective density of states for conduction and valence band, and 5EC, 5EV are the local shift of the conduction and valence band due to band gap narrowing. The index G denotes the quantities at the gate/polysilcon contact (yc at Fig.1.). It holds fc + W= - (Ego ~ 8EgG), where Ego is the ideal band gap, and 5Eg is the total band gap narrowing. The quantities OfC and fc=UTRUNgG/Nc)t vf= UtRI ( NgG/Nv) for N-type and P-type gates, respectively. Ng is the activated impurity concentration in the gate. The neutral majority carrier concentration (which equals the activated impurity concentrarion) is assumed at the gate Figure 2: Potential, field, electron and hole distributions. N-gate / N-channel device: t0x = 5nm, L = 0.25^im, Ng = 1019cm'3, Uds = 2V, Ugs = 2V 186 P. Habas, S. Selberherr: Impact of the Non-Degenerate Gate Effect on the Performance of Submicron MOS-Devlces contact (usually silicide/polysilicon). The top gate potential with respect to the Fermi level In the source (boundary condition) is given by vfg = o/c- 5Ecg+ Ugs + (Eco — Eio) where Ugs is the terminal voltage and Eco, Eio denote the conduction band edge and the intrinsic level in the Ideal silicon band. The previous equations account properly for a position dependent band gap narrowing, and ensure that the potential ¥ is continuous in the total simulation area (from yt until yB - Fig.1). The Fermi integral F1/2 and its inverse can be calculated both accurately and efficiently by analytical approximations'10'. The strong influence of the charge at the polysilicon /oxide interface on the field penetration into the gate, and therefore on the flat-band and threshold voltage, gas been demonstrated by a 1-D analytical model in reference 8. There Is not much information about the nature of this charge in the literature. Since the polysilicon is deposited over oxide, it is believed that the gate/oxide interface is worse than the interlace between thermally grown oxide and bulk-silicon. A positive total interface charge of order ~ 1012cm"2 has been obtained experimentally in(11). The acceptor type interface traps have been speculated in'12', and fixed charge, traps and dipole layer gave been proposed for heavy doped gates in'13). We have incorporated fixed oxide and interface trapped charge (with both donor and acceptor nature) in our simulations. The traps at the grain boundaries in the polysilicon have not been taken into account in the present model. If the doping is several times higher than the equivalent volume trap density in polysilicon Ntvoi (surface trap density at grain boundary/grain size), the trapped charge is negligible compared to the space sharge due to impurity ions. A value Ntvoi = 1018cm has been reported for small grain size polysilicon"14' and lts references) No{e po accurate experimental data are available about the grain size in gates within the first few extrinsic Debye length (max = 30nm) from the oxide. 3. Some results and discussion The impact of the poly-gate depletion on the characteristics of thin oxide submicron MOSFETs is discussed next. Quarter-jam planar devices are analyzed. The devices have 5nm oxide thickness, threshold voltage ±0.25V, and are designed for room temperature operation. Multiple implanted source/drain profiles (Fig. 1) are reconstructed from the data in literature'8-9 '1,3,15,16". For the P-gate device we assume that there is not boron penetration. Fig. 2 shows the distribution of the potential, field and electron and hole concentrations in the gate of the N-channel/N-gate device. Due to thin oxide, medium ionized impurity concentration at the gate/oxide interface (1019cm"3) and high gate bias (2V), a remarkable potential drop occurs in the gate. The gate-drive is reduced about 20% at the source channel-end. Note that for this device the inversion in the poly-gate takes place (beginning at the source channel-end) at Ugs = 3.7V, Informacije MIDEM 20(1990)4, str. 185-188 leading to the recovery of the transconductance (experimental finding in'2)). The inversion in the poly-gate produces a recovery of the quasistatic C-V curve, too (obtained experimentally in'2, and calculated analytically in(8)). The threshold voltage and the potential drop in poly-gate at the threshold versus ionized impurity concentration near the gate/oxide interface Ng are shown for the P-gate/P-channel device in Fig.3. The charge at the gate/oxide interface Qgo (here assumed as fixed) has a strong influnce on the voltage drop in the poly-gate and therefore on the threshold voltage. Assuming Qgo to be a positive charge, the voltage drop in the gate Is increased for a P-gate/P-channel device, while in a N-gate/N- channel device a positive Qg0 has a screening effect. activated impurity concentration (cm 3) Figure 3: Threshold voltage of P-gate/P-channel device. Parameter Qgo is fixed charge density at gate / oxide interface. The fall-off of the drain current in the saturation region with Ng as parameter is shown in Fig.4. For common values Qgo has a minor influence, and Ng is the main parameter in determination of the drain current degradation. In order to suppress totally the reduction of the gate drive, the activated impurity concentration near the gate/oxide interface must be at least 4 ■ 1019cm"3forthe analyzed 5nm-oxide devices. The relative ratio of the effective and the terminal gate-source voltage is given roughly by (assuming solely depletion in the gate) 2 1 + V1 + 2e oxUgs/(e 6 pgtbxNg ) where e0x and epg are the permittivities in oxide and polysilicon-gate, respectively. Applying different scaling rules on tox and Ugsthe poly-gate effect becomes more or less severe by miniaturization. E.g., for the device at Fig.4 the reduction of the current at the gate and drain 187 Informacije MIDEM 20(1990)4, str. 185-188 P. Habas, S. Selberherr: Impact of the Non-Degenerate Gate Effect on the Performance of Submlcron MOS-Devices gate-source voltage (V) Figure 4: Transfer characteristics in the saturation for P-gate/P- channel device. The absolute values are shown. supply voltage of - 2V is 20% at Ng = 1019crri3, while for a simulated 10nm-oxide (O.Sjim) device the corresponding reduction was 12% at -5V. Note that the recent development shows a tendency to reduce the oxide thickness under the established 5nm limit, but to keep the supply voltage high: a 3.5nm-oxide subquarter-|o.m CMOS technology with 2V supply has recently been presented in(15) (compared to 5nm-oxide quarter-(im device with 1V supply discussed.in(16)). Acknowledgement - Our work is considerably supported by the research laboratories of Digital Equipment Corporation at Hudson U.S.A. References (1) C.Y.Wong, J.Y.-C.Sun, Y.Taur, C.S.Oh, R.Angelucci and B.Da-vari: Doping of N+ and P+ Polysilicon in a Dual-Gate CMOS Process, in IEDM-88 Tech. Dig. p.238. (2) C.-Y.Lu, J.M.Sung, H.C.Kirsch, S.J.Hillenius, T.E.Smith and L.Manchanda: Anomalous C- V Characteristics of Implanted Poly MOS Structure in n+/p+ Dual-Gate CMOS Technology, IEEE Electron Device Letters 10(5) p. 192, 1989. (3) R.A.Chapman, C.C.Wei, D.A.Bell, S.Aur, G.A.Brown and R.A.Haken: 0.5 Micron CMOS for High Performance at 3.3V, in IEDM-88 Tech. Dig. p.52. (4) M.M.Mandurah, K.C.Saraswat and C.R.Helms: Dopant segregation in polycrystalline silicon, J. Appl. Rhys. 51(11) p.5755, 1980. (5) Y.-C.J.Sun, R.Angelucci, C.Y.Wong, G.Scilla and E.Landi: Rapid Thermal Processing of Arsenic-Implanted Polysilicon on Very Thin Oxide, Proc. ESSDERC'88 Conf. (Journal de physique C4(9) p.401). (6) U. Sch wal ke, C. Mazu re and F.NeppI: Redistribution of boron implanted into TaSi2/poly-Si gates, Mat. Res. Soc. Symp. Proc. 106 p. 187, 1988. C.Mazure, U.Schwalke, F.NeppI, Eichinger and M.Metzger: Influence of the fabrication conditions on the p+- TaSi2/poly-Si gate quality, Proc. ESSDERC'88 Conf. (Journal de physique C4(9) p.401). (7) F.K.Baker, J.R.Pfiester, T.C.Mele, H.-H.Tseng, Ph.J.Tobin, J.D.Hayden, C.D.Gunderson and L.C.Parrillo: The Influence of Fluorine on Threshold voltage Instabilities in P+ Polysilicon Gated P~ Channel MOSFETs, in IEDM-88 Tech. Dig. p.443. A.J.Walker and P.H:Woerlee: The Use of Boron Doped Polysilicon in PMOS Devices, Proc. ESSDERC'88 Conf. p.29. (8) P.Habas and S.Selberherr: On the effect of non-degenerate doping of polysilicon gate in thin oxide MOS-devices - Analytical Modeling, to be published in Solid-State Electronics. (9) P.Habas and S.Selberherr: Numerical Simulation of MOS-Devices with Non-Degenerate Gate, in Proc. ESSDERC'90 Conf., Nottingham, Sept. 1990. (10) X.Aymerich-Humet, F.Serra-Mestres and J.Millan: An analytical approximation for the Fermi-Dirac integral F3/2, Solid-State Electronics 24 (10) p.981, 1981. N.G.Nilsson: Empirical approximations for the Fermi energy in a semiconductor with parabolic bands, Appl. Phys. Lett. 33(7) p.653, 1978. (11) G.Yaron and D.Frohman-Bentchkowsky: Capacitance voltage characterization of poly Si-Si02-Si structures, Solid-State Electronics 23 p.443, 1980. (12) N.Lifshitz and S.Luryi: Influence of a Resistive Sublayer at the Polysilicon/Silicon Dioxide Interface on MOS Properties, IEEE Trans, on Electron Devices 30(7) p.833, 1983. (13) T.W.Hickmott and R.D.Isaac: Barrier heights at the polycrystal-linesilicon-SiC>2 interface, J. Appl. Phys. 52(5) p.3464, 1981. (14) D.M.Petkovic: Effect of grain size on completely dopleted grains in doped polycrystalline silicon thin films, Proc. of MIEL'89 Conf.Nis, Elsevier Advanced Technology, p.209, 1989. (15) Y.Okazaki, T.Kobayashi, M.Miyake, T.Matsuda, K.Sakuma, Y.Kawai, M.Takahashi and K.Kanisawa: High-Performance Sub-quarter- Micrometer Gate CMOS Technology, IEEE Electron Device Letters 11(4) p. 134, 1990. (16) G.Baccarani, M.R.Wordeman, R.H.Dennard: Generalized Scaling Theory and Its Application to 1/4 Micrometer MOSFET Design, IEEE Trans, on Electron Devices ED-31(4) p.452, 1984. Predrag Habas2'-1' and Siegfried Selberherr 2) 1) on leave from Department of Electrical Engineering Faculty of Technical Sciences, University of Novi Sad 1/. Vlahovica 3, 21000 Novi Sad, Yugoslavia. 2) Institute for Microelectronics Technical University Vienna GuBhausstrasse 27-29, 1040 Vienna, Austria. Prispelo: 18.10.90. Sprejeto: 20.11.90. 188 UDK 621.3:(53+54+621 +66), ISSN 0352-9045 Informacije MIDEM 20(1990)3, Ljubljana TWO-DIMENSIONAL MODELING OF REVERSE BIASED PN STRUCTURES Dejan Križaj, Slavko Amon KEY WORDS: semiconductor devices, 2D modeling, pn structure termination, numerical modeling, computer aided modeling, computer program ABSTRACT: The paper presents a program for two-dimensional modeling of reverse biased pn structures. The analysis is simplified with zero-current approximation that allows solving the Poisson equation only. Breakdown voltage is calculated with the ionization integral. The program includes the possibility of guard-ring and field-plate junction termination modeling. MODELIRANJE ZAPORNIH LASNOSTI PN STRUKTUR KLJUČNE BESEDE: polprevodniški elementi, 2D modeliranje, zaključitve pn spojev, numerično modeliranje, računalniško modeliranje, računalniški program VSEBINA: V delu je opisan program za dvodimenzionalno modeliranje zapornih lastnosti pn struktur. Analiza je zato poenostavljena z uvedbo aproksimacije ničelnega toka, kar omogoča reševanje samo Polssonove enačbe, prebojne napetosti pa so določene z rešitvijo integrala ionizacije. Program omogoča poleg simulacij pn struktur tudi modeliranje zaključitvenih tehnik pn spoja z zaščitnim obročem (guard-ring) ali s kontaktom preko oksida (field-plate). Glossary of symbols: \|/ electric potential A Laplace operator q elementary charge e permittivity n free electron concentration p free hole concentration C net doping concentration m intrinsic carrier concentration cpn, cpp electron and hole quasifermi potential ut thermal voltage h,k lateral and vertical distance between two mesh points 1. INTRODUCTION The limitations of analytical semiconductor device modeling have led to numerical modeling with the use of computers. The growing speed and affordability of computers has made computer aided device modeling accessible to a wide spectrum of users. The system of three semiconductor equations (Pois-son's and two continuity eq.) that needs to be solved can be very large. For example, on a mesh of 100x100 points, 3x10000 mutually dependent nonlinear equations need to be solved. In the matrix notation A.x=b the matrix A has 30000 rows and columns. Solving such a system of equations is time consuming and numerically exacting and therefore still an interesting problem not only for reserachers in microelectronics area but also for mathematicians, physics and computer experts. Sometimes we are interested in physical and electrical properties of precisely determined structures and we do not need a general sophisticated program that would be capable of arbitrary semiconductor device modeling. In this case some admissible simplifications can be made such as neglecting minority carriers in MOS structure analysis or solving only Poisson equation for reverse biased structure modeling. The last method is most suitable for planar junction breakdown modeling because otherwise (taking into account all three semiconductor equations) the system of three equations is strongly coupled. Therefore more complicated solution algorithms are needed. The program developed in the Laboratory for Semiconductor Devices at the Faculty of Electrical and Computer Engineering in Ljubljana allows various types of two dimensional (2D) reverse biased planar structure modeling. If we solve only the Poisson equation, the breakdown properties should be determined through the ionization integral calculation. 2. NUMERICAL MODELING OF REVERSE BIASED JUNCTIONS Assuming there is no current flow through the reverse biased structure (zero current approximation) the system of three semiconductor equations can be reduced to only one - Poisson equation a v = f-(n-p-C) (1) 189 Informacije MIDEM 20(1990)4, str. 189-192 D. Križaj, S. Amon: Two-Dimensional Modeling of Reverse __Biased PN Structures Besides it is possible to neglect electron and hole concentrations in the depletion layer, but in this case, depletion layer edges should be determined ¡teratively727. The following approach, used also in our program, is to consider quasifermi potentials constant through the entire structure and to express free carrier concentrations as a function of varying potential and constant quasifermi potential n= n¡- e p = ru ■ e y - (?n Ut ut - ut A\\iij is approximated on a rectangle grid (fig. 1 ) by the 5-point finite difference equation n~ 0 i.j+1 o- 1-1, j o i.j O- i.j— 1 o 1+1,j p+ h- Fig. 1: Numbering of points on a rectangular grid A (¥H-i,y+¥M,yj (7) 2 + 72 • V/./+ 72 ' !V/>1 + V/./-1 ! if To reduce further the solution time i.e. time needed to solve the system of equations to predetermined accuracy, the multigrid method747 is applied. The program written in Pascal is basically developed for PC AT computers but with minor changes it can be transferred to VAX computers (not the graphics). For easier handling, menu items are used (fig.2) and an additional iteration menu (fig.3) allows control over intermediate results (specially important in the development stage). Representation of the results can be graphical (3D graphs or equipotential,field,.., lines) or numerical (tables). The program computes potential values in mesh points. Besides, electrical field, electron and hole concentrations, charge density and ionization integral can be evaluated. The doping profile can be abrupt, linear or defined with a gaussian or erfc function with optional radius of curvature (lateral diffusion). Options 3d drapli E H.jribP lot Uh;y U Uleu firray C Tab Ulru 3D Graph Dup 3D Graph Kfp t;l. density ho. cletis 1 ty cliorge density Print array Fig. 2: Main menu items 21212121212121 Max, delta; 2.341E ' i ' n U i » i u i ml ou ----------- ■ ■ :■ ......- - in paint: 2S 8 F: 3.031E B001 on level 2 taking fron 8 writing to 0 In point: g F: 4.71SE-B8B2 level 2 to level 1 on level 1 taking fron 1 writing to 4 in point: 12 7 F: 2.15UE-B8B6 on level 1 taking fro« 1 writing to 4 In point: 12 7 F: 3.346E-B8K on level 1 taking fron 4 writing to 4 In point: l F: 4.SS9E-B886 on level 1 taking fron 4 writing to 4 louer execution ' Faster execution ! Uait. ! Trace ! Exit Fig. 3: Iteration menu 3. MODELING EXAMPLES As an modeling example p+n structure with substrate concentration 5.1014cm"3, gaussian doping profile with surface concentration 2.1018cm"3, junction depth 8|_im and lateral diffusion 70% of the vertical one, is analyzed (fig.4). For reverse bias 208V (breakdown voltage ob- 190 D. Križaj, S. Amon: Two-Dimensional Modeling of Reverse Biased PN Structures Informacije MIDEM 20(1990)4, str. 189-192 tained with ionization parameters from/5/) the potential and field distributions are shown in fig. 5 and 6 respectively. Breakdown is a consequence of the high electric field at the junction curvature (field crowding effect). Spreading the depletion layer laterally would reduce the junction curvature effect and thus raise the breakdown voltage. This can be achieved with various junction termination techniques among which guard-ring and field-plate are the most common ones. The next example is a guard-ring structure (fig.7) where the ring potential is floating (not fixed) and depends on the applied reverse voltage. Reverse current flow into the ring needs to come out (of the ring) at one point and there the ring should be slightly forward biased'3'. According to this, the quasifermi potential of the ring should be iteratively changed until the upper conditions are met. For the guard-ring structure with a 10jj.m distance between ring and contact junction the calculated potential and field distribution are shown in fig. 8 and 9 respectively. Further development of the program demonstrated also a possibility to model field-plate (FP) structure (fig. 10) without solving the Laplace equation in the oxide. As an example FP structure simulation with substrate concen-. tration 5.1014cm"3, junction depth 15(im, oxide thickness 2.6jim and the FP edge at 51.75jam was performed. Resulting potential and field distributions are shown in fig. 11 and 12 . Fig. 7: Guard ring structure Fig. 4: Doping profile of a simulated p+n structure 3EI 10 Fig. 5: Potential distribution of a simulated p+n structure Fig. 8: Potential distribution of a simulated guard ring structure Fig. 6: Field distribution of a simulated p+n structure Fig. 9: Field distribution of a simulated guard ring structure 191 Informacije MIDEM 20(1990)4, str. 189-192 D. Križaj, S. Amon: Two-Dimensional Modeling of Reverse Biased PN Structures Fig. 10: Field-plate structure 4. CONCLUSIONS In the paper a possibility of reverse biased semiconductor structure simulation with solving Poisson equation only is demonstrated.. The program written for this purpose is capable of 2D planar structure breakdown modeling and modeling of guard-ring and field-plate junction termination techniques. Some basic advantages and deficiencies of the resulting program are : a.) advantages: - reduced number of equations by a factor of three - reduced convergence problems - reduced time consumption due to multigrid method -modeling runs also on a PC AT computer - menu-items for easier handling - 2D, 3D and tabellary result presentation - possibility of intermediate result and iteration control - guard-ring and field-plate termination modeling b.) deficiencies: - simplified physical model - maximum 49x49 mesh points (for PC AT, only) - limitation to reverse biased structures - lack of current analysis due to zero current approximation - rectangular structure simulation only Some more details on the program can be found else-where/6,7,8/. Fig. 11 : Potential distribution of a simulated field-plate structure Fig. 12: Field distribution of a simulated field-plate structure /3/ S.Yasuda.T.Yonezawa: High-voltage planar junction with a field-limiting ring, Sol.St.Electr.,Vol.25,pp.423-427,1982. /4/ W.Hackbusch: Multigrid method and applications, Springer- Ver-lag, 1985. /5/ R. Van Overstraeten.H.De Mann: Measurement of the ionization rates in diffused silicon p-n junctions, Sol.St.Electron.,Vol.13, pp.583-609, 1970. /6/ D.Križaj, D.Reichmann.S.Amon: Multigrid Method for reverse biased semiconductor simulation in two dimensions; MIEL 90, Ljubljana, 1990. /7/ D.Križaj, S.Amon: 2D Modeliranje preboja v planarnem pn spoju; ETAN 90, Zagreb, 1990. /8/ D.Križaj,S.Amon,U.AIjančič: Modeliranje prebojnih lastnosti pla-narnih struktur; v pripravi References: /1/ S.Selberher.A.Schttz.H.W.Potzl: MINIMOS- A two dimensional MOS transistor Analyser; IEEE Trans.E.D.,Vol.27, p.1540,august 1980. /2/S.Colac,E.H.Stupp: Reverse Avalanche Breakdown in Gated Diodes; Sol.St.Elecrtron.,Vol.23,pp.467-472, 1980. Dejan Križaj, dipl.ing. prof.dr. Slavko Amon, dipl.ing. Fakulteta za elektrotehniko in računalništvo Tržaška 25, 61000 Ljubljana Prispelo: 23.10.90 Sprejeto: 12.11.90 192 UDK 621.3:(53+54+621 +66), ISSN 0352-9045 Informacije MIDEM 20(1990)3, Ljubljana MODELIRANJE MOSFET TRANZISTORJEV ZA PROGRAM SPICE F. Mihalič, M. Milanovič, K. Jezernik KLJUČNE BESEDE: močnostni stiskalni tranzistorji, MOSFET, računalniško modeliranje, simulacija, simulacijski program, SPICE, algoritmi POVZETEK: Prikazali bomo postopek izračuna bistvenih karakterističnih parametrov za model MOSFET tranzistorja. Na podlagi statičnih karakteristik in ostalih podatkov iz kataloga izračunamo potrebne podatke. Tako dobljen model uporabimo v simulacijskem programu SPICE. Rezultate na koncu primerjamo s tistimi iz kataloga. MODELLING MOSFET TRANSISTORS WITH SPICE PROGRAM KEY WORDS: switching power transistors, MOSFET, computer aided modeling, simulation, simulation program, SPICE, algorithms ABSTRACT: The algorithm for the calculation of the important characteristic parameters of the MOSFET transistor model is described. With help of the parameters from data sheet the needed pieces of the informations are found out. Such a model is used in the simulation program SPICE. The results are compared and verified with those from data sheet. 1. UVOD Močnostni MOSFET tranzistorji nam poenostavljajo gradnjo elektronskih vezij, saj so to napetostno krmiljeni elementi in zahtevajo zelo majhen konstanten tok iz krmilnega vezja. Preklopni časi so krajši od 100ns, kar pomeni zelo nizke stikalne izgube. Za razliko od bipolarnih tranzistorjev nimajo drugega preboja nosilcev (second breakdown), pa tudi temperaturna stabilnost oja-čenja in časovnih odzivov je izredna. Simulacijski program SPICE je primeren in uporaben za simulacijo in analizo elektronskih vezij. Ker pa je pripadajoča knjižnica elementov zelo skopa, kaže naslednji prispevek postopek izračuna pomembnih parametrov za model MOSFET tranzistorja. 2. MODEL MOSFET TRANZISTORJA Statično prevodno karakteristiko modela MOSFET-a v grobem določata nelinearni tokovni vir Id in parazitna upornost izvora, RS. Razlikujemo tri različna področja delovanja. Modelne enačbe za tokovni vir b in pogoji delovanja v vsakem področju so naslednji: I. Področje odkiopljenosti (Cufoff Region): V'Gs< VTin V'gd< VT : Id = 0 (1) II. Ohmsko področje (Ohmic Region): V'gs> VTin V'gd> Vt: Id=KpVds{(Vgs- }-(1 +XVds)( 2) \ mi (■") : : V - . nr i : -1 o .... ; wv- ! Q I „ ( I ) ^ < .......(>) Slika 1: SPICE model MOSFET-a. lil. Področje nasičenja (Pinch off Region): V'Gs> Vrin V!Gd< Vt : Kjer so: Vt - pragovna napetost Kr - parameter transkonduktance X - modulacijski parameter dolžine kanala V splošnem je pragovna napetost Vj funkcija napetosti Vbs. Za HEXFET tranzistorje to ne velja dokler je Ves s 0. V prvem področju delovanja (Vds > 0) velja I'd + Ibd = Is + i's kar znaša 10"11 A za tipičen HEXFET. Dokler je ta tok zanemarljivo majhen, je upor RL na sliki 1 dimen-zioniran tako, da prevaja končen izklopni tok v FET-u. V 193 Informacije MIDEM 20(1990)4, str. 193-200 F. Mihalič, M. Milanovič, K. Jezernik: Modeliranje _MOSFET tranzistorjev za program SPICE drugem in tretjem področju je tok skozi RL zanemarljiv v primerjavi s tokom Id. Parazitna upornost RS na sliki 1 ima pomembno vlogo v modelu močnostnih MOSFET-ov: povratna upornost teži k linearizaciji karakteristike ponora pri visokih tokovih. Vsota parazltnih upornosti RD in RS je spodnja meja najnižje vklopne upornosti FET-a. Vklopna upornost se približa tej limiti, ko gre Vgs => <*>. Če izločimo diodo substrata, je njegova upornost v inverznem področju zajeta v RD. Skratka, nemogoče je Izbrati upornost RD tako, da bi ohranili dobre razmere v obeh smereh prevajanja: prevodni in inverzni. Z zunanjo diodo je inverzna upornost substrata s RS', ob pogoju, da je RS' « RD -kar pa velja za tipične HEXFET tranzistorje. Če izločimo upornost substrata RS', opisuje karakteristiko zunanje diode enačba (4): /■D=/'S(€ "V0.026 A,_'1} (4) kjer je l's = tok nasičenja inverzne diode = 10"11 in N=1. Enačba, ki opisuje tok skozi vgrajeno diodo - Ibd se glasi: lBD=lS(e ) Ta komponenta postane z zunanjo diodo odvečna. Ker pa je ne moremo popolnoma Izločiti iz modela, mlnimi-ziramo njen vpliv z izbiro toka Is « l's. Id=Y ( VGS- Vt-IdRS)2- {1 + X { Vds - Id ( RS + RD)}} Za področje prevajanja pa dobimo: ID=Kp{ VDS- b(RS+ RD)}- ¡\Vps- lp(RS+ RD] ( Ves- Vt-IdRS)■ (8) }(9) V enačbi (8) lahko zanemarimo: M Vos-b(RS+ RD)}« 1. A.) IZRAČUN X Parameter modulacije dolžine kanala X je določen z najvišjo tokovno krivuljo Id statične karakteristike (glej sliko 2). Ur ,U ) DI Di, 'D„ U r;S - U es i Prazitna upornost vrat RG nima vpliva na statične lastnosti elementa, določa pa spodnjo mejo hitrosti polnjenja in praznjenja parazitnih kapacitivnosti elementa. Skratka, ima pomembno vlogo pri določanju vklopne in izklopne zakasnitve. ds Slika 2: Izračun X Kapacitivnost med vrati in kanalom predstavimo z dvema delnima kapacitivnostima: CGSO in CGDO. V SPICE modelu sta obe kapacitivnosti izbrani konstantni. Kapacitivnost spoja med ponorom in substratom - CDS je podana z: CDS - ■ CBD (5) ( 1 + Vds/PB)VZ Pri tem je: CBD = vrednost CDS pri VDs = 0 in PB = potencial spoja s 1 V. 2.1. IZRAČUN PARAMETROV MODELA MOSFET -a Ogledali si bomo izračun bistvenih parametrov SPICE modela za močnostne MOSFET-e. Za zgled je izbran IRF330. Glede na sliko 1 so napetost Vgs In Vds oz. V'gs in V'ds ter tok Id povezani s Kirchoffovim zakonom: Vgs= V'GS + ID RS (6) VDS=V'DS+ /d( RS+ RD) (7) Če vstavimo ti dve enačbi v en. (3) in (2), dobimo odvisnost toka za področje nasičenja: Glede na sliko 2 lahko aproksimiramo na krivulji Vgs =Vgsi točko Ido. Tudi druga točka (Idi, Vds-i) skrajno desno na krivulji Vgs = Vgsi je ravno tako izbrana. Če vstavimo ti dve točki v en. (8), dobimo: /pi - Ido Ido vbsi (10) Ta rezultat bazira na približkih, ki so veljavni za vsak realni element: Vds 1 » Ido(RS+ RD) XIdo (RS+ RD)« 1 ( Vgsi - VT- Ido RS)2b( Vgsi - VT- len RS)2 Za izbran tranzistor IRF330 je Idi = Ido in zato je X = 0. Če je vpliv parametra X kritičen, izvedemo meritve podatkov za izračun. B.) IZRAČUN KP, Vt IN RS Parametre Kp, Vt in RS določimo iz treh točk v področju nasičenja Id karakteristike. Iz slike 3 je razvidno, da je prva točka (Idi, Vdsi) izbrana pri najvišjem toku, ko je Vgs =Vgsi. Druga točka (Id2, Vds2) je locirana na drugi najvišji krivulji pri Vgs = Vgs2 in tretja točka na tretji najvišji krivulji pri Vgs =Vgs3- 194 F. Mihatič, M. Milanovlč, K. Jezernik: Modeliranje MOSFET tranzistorjev za program SPICE_ Informacije MIDEM 20(1990)4, str. 193-200 Slika 3: izbira treh točk Za smiselno numerično natančnost izberemo Id3 med 10% in 30% toka Idi, tok Id2 pa naj bo na sredi med Idi in Id3. Omeniti je treba še, da je vrednost Vds =Vdsi enaka za vse tri točke in povsod mora veljati: Vdsi » Id (RS+RD). Če vstavimo te tri točke v enačbo (8), dobimo: = y ( Vgsi -Vt- /di RS f-0+lVDsi) (11 a) RDS(on)=RD+ RS + D.) IZRAČUN RL 1 KKVgs- Vi) (Í2) (16) Parameter RL je določen z enačbo (17): RL = VDS(-ma^ ; kjer je /DSS = tok ¡g pri vGs= 0.(17) Idss E.) IZRAČUN l's, N, RS' IN Is V aplikacijah, kjer močnostni MOSFET tranzistor ne deluje v inverznem področju (Vds < 0), teh parametrov ni potrebno podati. Za delovanje v inverznem režimu pa so ti parametri definirani takole - napetost na diodi (če izločimo RS') opisuje enačba (18): V'd= 0.026A/ In I 'subD (18) Če izberemo N=1 in rs=10"v11, dobimo padec napetosti V'd =0.478 V pri I'd =1mA. Če izhajamo iz eksperimentalnih rezultatov, je to tipična inverzna napetost za večina HEXFET tranzistorjev pri tako malem toku, ko je ohmski padec napetosti zanemarljiv. Skratka, ta dva parametra izračunamo, ne pa izmerimo. KP T' KP lD2 = Y{VGS2-VT~ lDzRS ^ ' (1 + XVDS1 } 1 b) Ids = y (Vgss - VT- IdsRS)2 ■ (1 + M/bsi ) (11c) Parametra Kp in X izločimo z delitvijo enačbe (11 a) z (11b) in (11 a) z enačbo (11c), da dobimo dve enačbi in dve neznanki - Vt in RS: /oi \V¡> lD2 V. b = foi ¡D3 '/2 Vgsi - Vt - /oiffs vgs2 -vt- ÍD2RS Vgsi - Vt - /DI RS (12a) (12b) Vasa - Vt- IcqRS Iz teh dveh enačb izločimo RS in dobimo: ^gsi (Va - Vb) - VGsza (1 - Vb) + 1/gs3¿X1 - Va) VT=- Va - Vb - a( 1 - Vb) + b{ 1 - Va) RS dobimo s preureditvijo enačbe (12a): DC Vosi - a VGs2 - VtO-š) RS = /D1(1 _ 1/a) (O) In na koncu določimo še Kp iz enačbe (11a): 2foi (13) (14) Kp: ( Vgsi -Vt- /di RS)2 ■ (1 + X Vosi) (A/ V1) (15) C.) IZRAČUN RD Parameter RD je določen iz vklopne upornosti Ros(on), ki določa strmino karakteristike ponora v vklopnem področju. Teoretično je pri Vds=0 ta odvisnost podana z enačbo (16): Upornost RS' je določena iz maksimalnega toka v inverzni smeri I'd in padca napetosti na diodi Vsd. Iz enačbe (18) izračunamo V'd in nato še: RS'= Vsd- v'd i 'd (Q) (19) Tok Ibd predpostavimo veliko manjši kot I'd, če sta izpolnjena naslednja pogoja: Is » I 's in RD » RS' 10"15 A, druga Prva neenačba je izpolnjena z izbiro Is pa prav tako za vrednosti RD in RS' pri IRF330 (če izberemo za tok Is prenizko vrednost, lahko to privede do povečanja časa računanja). Za uporabljene vrednosti lahko pokažemo, da je tok Ibds 1A pri I'ds 20A - drugače povedano, vgrajena dioda nosi le okoli 5% celotnega inverznega toka 21 A. Pri nižjih vrednostih tokov ta odstotek naraste in pri višjih pada. V kritičnem področju delovanja podamo še prehodno časovno konstanto TT v modelu diode, drugače pa ima model diode zanemarljiv čas obnovitve nosilcev ob izklopu. F.) IZRAČUN CGSO , CGDO , CBD IN N Kapacitivnosti CGSO, CGDO in CBD lahko določimo iz vhodne, prehodne in izhodne kapacitivnosti. Le - te so označene v katalogu z CjSs, CrSs in C0ss. Pri določeni napetosti določimo iskane kapacitivnosti iz naslednjih povezav: CGDO= Crss CGSO= Ciss~Crss ODS ™ Cqss — Crss CBD pa določimo iz en. (5) pri (20) Vds = 25 V. 195 Informacije MIDEM 20(1990)4, str. 193-200 F. Mihalič, M. Milanovič, K. Jezernik: Modeliranje _MOSFET tranzistorjev za program SPICE Za tipične HEXFET tranzistorje je CGSO približno konstantna, medtem ko pa CGDO z napetostjo pada. Kljub temu pa sta oba parametra vzeta kot konstantna v SPICE modelu. Odstopanja lahko zajamemo na dva načina: a)Uporabimo povprečno vrednost CGDO v delovnem območju. Ta približek pomeni, da bomo z modelom simulirali povprečne časovne zakasnitve, ne pa točne vrednosti prehodnih pojavov. b)Dodamo zunanjo diodo med vrata in ponor. Kapacitiv-nost p-n spoja te diode uporabimo za simulacijo nelinearne kapacitivnosti CGDO. Obenem so spremenjeni tudi ostali parametri, tako da teče ves čas zanemarljiv tok skozi diodo. Če uporabimo za Is =10"15 A in N=1000, teče skozi diodo tok 1jiA, ko je napetost Vgd =+538V. Ta tok pada za faktor 10 na vsakih 60V. Ugotovimo lahko, da izbira konstantne vrednosti za CGDO daje tesnejšo povezanost simulacij in podatkov iz kataloga v celotnem področju. G.) IZRAČUN RG Pri vsakem močnostnem MOSFETtranzistorju nastopata vklopni čas zakasnitve (turn-on delay) in izklopni čas zakasnitve (turn-off delay). Za razlago si oglejmo vezje na sliki 4a. Ekvivalentno vezje na vhodni strani pa prikazuje slika 4b. pol ¿111 G£M£ftfUQB 50E -vvv- RG -vw- < 5GE Slika 4: a) Vezje za testiranje preklopnih časov. b) Ekvivalentno vezje na vhodni strani. Pri tem sta: H;R2 in 1/' VpRz Ri + R2 (21) Ri + Ri Vhodni model velja aproksimativno za zaporno področje in področje vklopa. Ce je FET izklopljen in nastopi na vratih pulz V'p =+10 V, tok Id ne steče, dokler se Ciss ne napolni na napetost Vt. Ta čas polnjenja določa vklopno zakasnitev, td(on): tdm = (Rgi + R ')Cissln <22> Vrednost R izračunamo iz tega za čss pri Vos = 25 V. Zakasnitev izklopa pa določimo s postavitvijo delovne točke na statično karakteristiko MOSFET-a. Presečišče delovne premice s krivuljo prevajanja je vklopna delovna točka za FET. Z interpolacijo napetosti vrat (Vgs), ki seka delovno točko, dobimo mejno vrednost napetosti vrat med prevodnim področjem in področjem nasičenja. Imenujmo to mejno vrednost napetosti Va (slika 5). Slika 5: Določitev Va h/1 j. 1 Če se vhodna napetost skokoma spremeni z V'p na 0 V, se tok Id ne more zmanjšati naenkrat, dokler se kapaci-tivnost Ciss ne sprazni z začetne vrednosti 10V na vrednost Va. Ta čas praznjenja določa zakasnitev izklopa in ga lahko izračunamo iz en. (23): td(ott) = (Rg2 + R' )Cissln Za SPICE model je vrednost parametra RG: RG= flG1 + Rg2 (23) (24) Vrednost RG, ki je uporabljena v modelu, je povprečje vrednosti izračunane iz obeh enačb. Opozorimo še, da poenostavljen model na sliki 4b ni veljaven med časom naraščanja in padanja prehodnega pojava, dokler je element v področju nasičenja in Millerjev efekt kapacitivnosti ni zanemarljiv. S pomočjo izračunanih podatkov lahko zapišemo SPICE model za MOSFET IRF330. Vozlišča so oštevilčena glede na sliko 1. ■SUBCKT IRF330 10 20 30 * nD nG nS MOS 10 1 30 30 MOSFET OFF W=1 L=1 RG 10 1 72 RL 10 30 1.6MEG DREV 30 10 D01 •MODEL MOSFET NMOS (VT0=3.54 KP=9.155 RS=0.109 RD=0.674 + CGSO-660P CGD0=40P CBD=560P PB=1.0 IS=1.0F) .MODEL D01 D (IS=10P RS=0.0432) .ENDS IRP330 Izpis 1: SPICE model MOSFET-a IRF330. 2.2. REZULTATI SIMULACIJ Sedaj lahko dobljeni model MOSFET-a preizkusimo med delovanjem. In sicersi najprej oglejmo enosmerne razmere. Na sliki 6 je vezje za simulacijo statičnih karakteristik. 196 F. Mihalič, M. Milanovič, K. Jezernik: Modeliranje MOSFET tranzistorjev za program SPICE Informacije MIDEM 20(1990)4, str. 193-200 H Ur !-- ¡lir 330 i i Slika 6: Izračun statičnih karakteristik Simulacije smo opravili za različne vrednosti napetosti vrat: VGs =4.0 V, 4.5 V, 5.0 V,- 5.5 V in 6.0 V pri linearnem spreminjanju napetosti Vdd od 0 V do 10 V. Rezultati kažejo zelo dobro ujemanje odzivov v področju nasičenja (slika 7). Delovanje v inverznem področju nam kaže slika 8. Vidimo, da se rezultati simulacije zelo dobro ujemajo s podatki iz kataloga (Vgs =0 V, lD = 22 A pri Vsd = 1.6 V). 60 A +--------1---------1--------f- ^ t i D 40 A + ■( j A + 4- Vri 0A -I---------1-------(- - --- -3 0V -2.0V -1.0V 0 0V v°s Slika 8: Delovanje v inverznem področju. Po zadovoljivem enosmernem delovanju MOSFET-a smo preizkusili še točnost časovnih odzivov. Rezultati simulacije so dobljeni s pomočjo vezave na sliki 4a. Vklop in izklop tranzistorja kažeta sliki 9 in 10. Iz posnetih odzivov lahko določimo časovne konstante prehodnih pojavov. Vklopni čas sestavljata čas zakasnitve vklopa td(on) in čas naraščanja toka Id, tr. Izklopni čas sestavljata zakasnitev izklopa, td(off) in čas padanja toka Id, tf. Primerjava časov simulacij in podatkov iz kataloga je v tabeli 1. PREHODNI POJAV PODATKI IZ KATALOGA REZULTATI SIMULACIJ td(on) 30 ns 26 ns tr 35 ns 30 ns td(off) 55 ns 57 ns tf 35 ns 41 ns Slika 7: Statična karakteristika MOSFET-a IRF330 v področju nasičenja TABELA 1 Opazimo lahko nekoliko krajši čas vklopa in malenkost daljši čas izklopa, kljub temu pa so odstopanja manjša kot jih lahko pričakujemo med dvema tranzistorjema istega tipa. 2.3. VPLIV PARAZITNIH INDUKTIVNOSTI IZVORA NA DINAMIČNO OBNAŠAJE MOSFET TRANZISTORJA Kot smo lahko do sedaj opazili, dinamično obnašanje SPICE modela MOSFET-a na sliki 1 ni idealno. Skratka, če so dinamični parametri modela izbrani tako, da na- 197 Informacije MIDEM 20(1990)4, str. 193-200 F. Mihalič, M. Milanovič, K. Jezernik: Modeliranje _MOSFET tranzistorjev za program SPICE - - + - -------4--- 2.0A 0. 0A f--•-'' • 1 . 0U5 30V t- --+--- L VPS 20 V +• i 1 . lus 1 .2us _ 4--------- V, 6S 10V+- o v +— l.Ous l.lus 1 Slika 9: Vklop MOSFET-a 2. 0A F*" 0. 0A -j- 2. 0us 30V t - - H----- Vr ju v + io v 4- GS i v, DS ro- □ i 0V + - - 4"--- 2 Ous Slika 10: Izklop MOSFET-a ---i----h + 4 -4---L 2.2us a d \. „-'"O I ■ + 4- O i i jL . Z U O tančno določajo vklopne in izklopne zakasnitve, pa se čas naraščanja in padanja nekoliko razlikujeta od izmerjenih. Eden možnih vzrokov za odstopanje je parazitna induktivnost Izvora, ki nastopa tako interno kot eksterno v tranzistorju. Namen tega dodatka je preučiti vpliv teh induktivnosti, katere smo zanemarili v prvotnem modelu. Spremembe modela enostavno vključimo v SPICE program. Notranji induktivnosti Ls in Ld sta izmerjeni in določeni s strani proizvajalca (HEXFET tranzistorji firme International Rectifier). Za IRF330 sta ti dve vrednosti 12.5nH in 5nH. Zunanjo (stresano) induktivnost pa določa uporabnik. Odvisna je od dolžine in premera vodnika, ki povezuje izvor in maso proženja. V splošnem velja, čim daljši in tanjši je vodnik, večja je stresana induktivnost. Vrednost te induktivnosti lahko minimiziramo s priključitvijo čim krajšega vodnika mase proženja direktno na priključek izvora (S). Za razlago vpliva parazitnih induktivnosti si oglejmo poenostavljeno stikalno stopnjo na sliki 11. vw . (I ) 5: Ho Slika 11: Poenostavljena stikalna stopnja z induktivnostjo Parazitna induktivnost Ls je prikazana zunaj FET-a. Z uporabo Kirchoffovega zakona dobimo: V(t)=Rgig+ VGS+Ls dis dt (25) Med vklopno in izklopno zakasnitvijo sta Ls in dis /dt mali in vrednost inducirane napetosti Ldi/dt je zanemarljiva. 198 F. Mihalič, M. Milanovič, K. Jezernik: Modeliranje MOSFET tranzistorjev za program SPICE Informacije MIDEM 20(1990)4, str. 193-200 I IG -VA- V\A, -- >111 Slika 12: SPICE model MOSFET-a z LD in Ls 'D 2. 0A 0. 0A j-•l- H- 2. 0us >0 V i----1--- 2. 2us 20V H- luv 4- ;v6s r-o- Vr DS 4 0v + - - -— 2. Ous Slika 14: Izklop MOSFET-a 2 . 2 u 5 1. uus Za preučitev vpliva parazitnih induktivnosti smo model FET-a na sliki 1 preuredili tako, da smo vključili Ld in Ls kot kaže slika 12. Uporabili smo vrednosti parametrov za nov model IRF330 in izvedli simulacijo po sliki 4a. Rezultate - vklop in izklop tranzistorja - kažeta sliki 13 in 14. Nazadnje smo dodali še zunanjo induktivnost Ls =100nH. Odzivi so posneti na slikah 15 in 16. 3. ZAKLJUČEK Primerjava rezultatov simulacij in podatkov iz kataloga kaže tabela 2. Slika 13: Vklop MOSFET-a Precejšen del vhodne napetosti odpade na L zato je manj ostane za napolnitev in spraznitev kapacitivnosti FET-a. Rezultat tega je daljši čas naraščanja in padanja toka Id. Ker pa smo dinamični parameter RG modela izračunali iz časa zakasnitve, ki pa očitno ni odvisen od Ls, je ta parameter še vedno veljaven. Prehodni pojav Podatki iz kataloga Idealni model Model z Ls = 12.5 nH Model z Ls IN 100 nH td(on) 30 ns 26 ns 32 ns 34 ns tr 35 ns 30 ns 30 ns 68 ns td(off) 55 ns 57 ns 57 ns 57 ns tf 35 ns 41 ns 43 ns 90 ns TABELA 2. 199 Informacije MIDEM 20(1990)4, str. 193-200 F. Mihalič, M. Milanovič, K. Jezernik: Modeliranje _MOSFET tranzistorjev za program SPICE +---+--------f- 2. 0a + - 0.0 fit 1. 0us 1.lus 1 3f/v+ ---+- ! v, • Lr DS ¿Uv 10V+- v, es . u v -+ 1.Ous 1.lus 1.2us Slika 15: Vklop MOSFET-a i------f 2. 0a 0. 0a l_ _ X.-- 2.0US 30 V + —I---- i i i i + 2. 2us ' V I GS 2 UV + i iUV i i hO- ov + - - + W . / I I I • + 4 us 2 US Slika 16: Izklop MOSFET-a Opazimo lahko, da model z notranjo induktivnostjo Ls daje bolj stvarne rezultate od idealnega modela. In-duktivnost ima majhen vpliv na časovne zakasnitve, poveča pa se čas padanja. Ko pa dodamo zunanjo induktivnost 10OnH, pa čas zakasnitve vklopa in izklopa malenkost naraste, obenem pa se čas naraščanja in padanja povečata za več kot dvakrat. To nam kaže na pomembnost minimiziranja stresanih ihduktivnosti za dosego hitrejših preklopov. Tudi induktivnost Ld smo vključili v model zaradi celovitosti modela, lahko pa jo izločimo, ne da bi s tem bistveno vplivali na rezultate simulacij. LITERATURA: 1. International Rectifier: Power MOSFET Databook, 1985, 1987. 2. Hoefer E. E. E., Nielinger H.: SPICE, Analyseprogramm für elektronische Schaltungen, Springer Verlag, Berlin, Heidelberg, Tokyo, 1985. 3. PSPICE, Microsim Corporation, Copyright 1984, 85, 86, P.O.Box 2025-233, Tustin, CA 92 681 (714-770-3022), Jannuary 1986. 4. Rudy Severns and Jack Armijos, ed., MOSPOWER Applications Handbook, Siliconix Inc., Santa Clara, California, 1984, Ch.2., pp. 56-69. F. Mihaliö dipl.ing, dr. M. Milanovic, dipl.ing. dr. K. Jezernik, dipiing. UNIVERZA V MARIBORU, TEHNIŠKA FAKULTETA, VTO Elektrotehnika, računalništvo in informatika, Smetanova 17, 62000 Maribor Prispelo: 12.11.90 Sprejeto: 05.12.90 200 UDK 621.3:(53+54+621 +66), ISSN 0352-9045 Informacije MIDEM 20(1990)3, Ljubljana TANKOPLASTNI SILICIJEVI OKSIDI III. VALENČNO NIHANJE POVEZOVALNEGA KISIKA KOT DETEKTOR RAZLIK V PLASTEH Marta Klanjšek Gunde, Boris Aleksandrov KLJUČNE BESEDE: silicijevi oksidi, tanke plasti, infrardeči spekter, spektralna analiza, disperzijska frekvenca, optične konstante, frekvenčni pomik, substrati, oksidne plasti POVZETEK: Na osnovi položaja valenčnega nihanja povezovalnega kisika sklepamo o vplivu različnih temperatur popuščanja na oksidne plasti. S pomočjo optičnih konstant upoštevamo premik traku zaradi različne debeline vzorcev. Z višanjem temperature popuščanja se vezi v strukturi jačajo. SILICONE OXIDE FILMS III. Si-O-Si BOND STRECHING VIBRATION AS A DETECTOR OF DIFFERENCES AMONG FILMS KEY WORDS: silicon oxides, thin films, infrared spectrum, spectral analysis, dispersion frequency, optical constants, frequency shift, substrates, oxide films ABSTRACT: The effect of differences in annealing temperature is studied via the position of Si-O-Si bond streching vibration. The thickness-de-pendent frequency shift is taken into account by the optical constants. Increasing of the annealing temperature yields to stronger bonds in the oxide structure. I. UVOD Veliko študij infrardečih spektrov SiOx se ukvarja s področjem najmočnejše absorpcije, kjerdominira valenčno nihanje povezovalnega kisika. To nihanje je nekakšen detektor sprememb v snovi. Položaj tega traku ( s) je močno odvisen tako od načina nanašanja plasti, kot tudi od morebitne kasnejše termične obdelave. Tako je mogoče sklepati o naravi Si-0 vezi, gostoti in poroznosti plasti, pa tudi o stehiometriji oksida.1,2,3,4, Tovrstne analize temeljijo na merjenju frekvence valenčnega nihanja. Frekvence posameznih nihanj določamo z ustreznimi maksimumi v absorbančnih (oziroma minimumi v trans-misijskih) spektrih. Za zelo tanke vzorce (v limiti, ko gre debelina proti nič) tako določene frekvence natančno ustrezajo disperzijskim frekvencam. V primeru, da spektre snemamo pri vpadnem kotu 0°, smo tako izmerili lastne frekvence pripadajočih nihanj v snovi, to je snovne parametre. Striktno bi morali pravzaprav govoriti o dveh frekvencah, o frekvenci absorpcijskega traku v spektru vzorca z dano debelino in o disperzijski frekvenci. Infrardeča spektralna analiza pri položaju posameznega traku običajno ne upošteva debeline vzorca. Izkušnje namreč kažejo, da premik absorpcijskega traku zaradi različne debeline vzorca opazimo zelo redko. Pojav teoretično pojasnuje takoimenovani "efekt izkrivljene disperzije".6 Največji je pri snoveh, ki imajo široko območje anomalne disperzije (to je področje, kjer z rastočo valovno dolžino lomni količnik snovi narašča). Silicijev oksid ta pogoj izpolnjuje. Pri primerjavi različnih vzorcev je mnogokrat težko ločiti premik traku zaradi sprembe debeline od premika zaradi drugih vzrokov. Nekateri avtorji poročajo o odvisnosti vs od debeline plasti, kot vzrok pa večinoma navajajo spremembe v snovi. Te nastanejo zaradi lastnosti procesa nanašanja plasti,3 manjšanja napetosti v vezi Si-0 z naraščajočo debelino,4 in podobno. V nekaterih študijah podatek o debelini plasti sploh ni naveden, čeprav lahko sklepamo, da obravnavani vzorci verjetno niso enako debeli. Z uporabo optičnih konstant smo za nekatere vzorce izračunali disperzijsko frekvenco valenčnega nihanja in odvisnost položaja tega nihanja od debeline oksidne plasti. Tako smo dobili metodo za detekcijo snovnih sprememb v različno debelih silicijevih oksidih. Uporabili smo jo za študij sprememb v plasteh pri spreminjanju temperature popuščanja. II. IZRAČUN OPTIČNIH KONSTANT Optične lastnosti poljubne homogene snovi so popolnoma določene s spektralnim potekom optične konstante. Termin "optična konstanta" se uporablja iz zgodovinskih razlogov in je pravzaprav zelo neposrečen, saj ne gre 201 Informacije MIDEM 20(1990)4, str. 201-204 M. Klanjšek Gunde, B. Aleksandrov: Tankoplastni __silicijevi oksidi; III. Valenčno nihanje za konstanto, pač pa za funkcijo, ki je povrhu še kompleksna. Zapišemo lahko: ■k (v) n(v) n(v) Lomni količnik n(v) pomeni razmerje hitrosti elektromagnetnega valovanja v vakuumu in v snovi. Ekstinkcijski koeficient k(v) predstavlja absorpcijo. Oslabitev amplitude elektromagnetnega valovanja v snovi na poti ene vakuumske valovne dolžine znaša e'2lzk. Obe komponenti kompleksne funkcije n(v) se običajno poimenujeta kar optični konstanti. Optične konstante snovi je mogoče določiti na različne načine. Na kratko bomo opisali metodo, ki smo jo razvili sami in jo uporabili za izračun optičnih konstant silicijevega oksida v infrardečem delu spektra. Debelino substrata in tanke plasti na njem izmerimo s katero od običajnih metod. Dalje poteka določevanje v dveh korakih. V prvem določimo optične konstante za substrat, v drugem za tanko plast na njem. 1 Metoda temelji na poteku žarkov po vzorcu. Lom in odboj žarkov na optično ravnih mejah med dvema sredstvoma preko Fresnelovih koeficientov izrazimo z optičnimi konstantami ustreznega sredstva. Na hrapavih mejah se intenzitete v smeri lomljenih in odbitih žarkov ustrezno zmanjšajo. Intenzitete žarkov, ki jih po zaporednih odbojih po substratu le-ta končno prepusti na svoji zadnji oziroma sprednji strani, seštejemo. Tako dobljeni vsoti skupno z merjenim transmisijskim in re-f leksijskim spektrom tvorita implicitni sistem dveh enačb z dvema neznankama. To sta spektra obeh optičnih konstant substrata. Problem večkratnih rešitev rešimo s fizikalno smiselnimi zahtevami, kot je naprimer zveznost obeh rešitev preko celotnega spektra. Vzročno povezavo med n(v) in k(v) tako za substrat, kot tudi za tanko plast preverimo z uporabo Kramer-Kronigove relacije.7 Spektralni potek optičnih konstant, ki smo ga izračunali za enega izmed obravnavanih silicijevih oksidov, prikazuje slika 1. III. IZRAČUN ODVISNOSTI POLOŽAJA VALENČNEGA NIHANJA OD DEBELINE OKSIDNE PLASTI Predstavljajmo si, da imamo različno debele tanke plasti SiOx, ki imajo enake vse parametre sestave in tudi strukture. Kakšno odvisnost položaja valenčnega nihanja (vs) od debeline plasti bi izmerili za take namišljene vzorce? Vprašanje rešimo po računski poti. Absorban-čne spektre simuliramo tako, da uporabimo isto snov (to je nespremenjene optične konstante), debelino pa kot neodvisni računski parameter spreminjamo v željenem območju. Iz tako izračunanih spektrov odčitamo vrednosti vs in narišemo iskano odvisnost od debeline. Natančna analiza je pokazala, da povzročata največji prispevek k frekvenčnemu premiku traku transmisijska faktorja na prehodih zrak - tanka plast in tanka plast -substrat. Ta dva faktorja zagotavljata ohranitev energije. IV. MERITVE IN REZULTATI Podoben implicitni sistem enačb zapišemo tudi za sistem tanka plast/substrat. Žarke po tanki plasti seštejemo koherentno, po substratu pa, tako kakor prej, nekoher-entno. Ob poznavanju optičnih konstant substrata (določili smo jih v prvem koraku) ima sistem pri vsakem valovnem številu v spektru dve neznanki - obe optični konstanti. Uporabljali smo tri vrste substratov. Podatki o njih so zbrani v tabeli I. Na te substrate smo nanesli različne silicijeve okside. Z izjemo vzorca z oznako 01, ki je zrastel pri termični oksidaciji, so bili vsi ostali nanešeni v CVD tehniki. Vse vzorce smo popuščali 15 minut v dušikovi atmosferi pri temperaturah 900, 950 ali pa 1050°C. Podatki o vzorcih so zbrani v tabeli II. valovno število (cm"1) Slika 1: Optične konstante silicijevega dioksida v infrardečem področju. Za vzorce TF3, TF4, TF5, TF6, TF7 in TF8 smo izračunali optične konstante. Te so za vzorce, ki so bili popuščani pri enaki temperaturi v okviru natančnosti računa enake, za plasti z različno temperaturo popuščanja pa se razlikujejo. V območju najmočnejše absorpcije te razlike prikazuje slika 2. Z višanjem TP se višata tako lomni količnik, kot tudi ekstinkcijski koeficient. Iz izračunanih optičnih konstant smo določili položaje maksimuma funkcije dielektričnih izgub za valenčno nihanje povezovalnega kisika. Tako smo dobili disper-zijske frekvence, ki so snovni parametri in tako niso odvisni od geometrije vzorca. Rezultati so zbrani v tabeli III. Za vzorce z enako temperaturo popuščanja so dis-perzijske frekvence enake, z višanjem TP pa se pomikajo proti višjim valovnim številom. Na osnovi tega pomika lahko sklepamo, da se z višanjem TP krepi vez Si-0 - struktura Si02 se jača. 202 M. Klanjšek Gunde, B. Aleksandrov: Tankoplastni silicijevi oksidi; III. Valenčno nihanje Informacije MIDEM 20(1990)4, str. 201-204 valovno število (cm-1 ) Slika 2: Optične konstante silicijevih oksidov, ki so bili popuščani 15 minut v dušikovi atmosferi pri 90CPC (vzorec TF3), 95CPC (vzorec TF7) in 105CPČ (vzorec TFS) v območju valenčnega nihanja povezovalnega kisika. Za vse optične konstante smo izračunali odvisnost položaja valenčnega nihanja povezovalnega kisika od debeline plasti, vs(d). Kot smo pričakovali, je ta odvisnost za vzorce z enako temperaturo popuščanja praktično enaka. Ko zvišamo TP, se krivulja približno paralelno premakne proti višjim valovnim številom. Vrednosti vs smo nato za vse vzorce, ki so opisani v tabeli II, odčitali iz absorbančnih spektrov. Tako dobljene eksperimentalne rezultate smo vnesli na sliko z izračunanimi krivuljami. Kot je razvidno na sliki 3, se meritve zelo dobro vklapljajo v izračunano krivuljo vs(d) za ustrezno TP. V okviru naše natančnosti to potrjuje domnevo, da imajo vsi vzorci, ki so bili na enak način popuščani, z IR stališča neločljivo strukturo ne glede na način priprave. Ujemanje eksperimentalnih podatkov z računsko določeno odvisnostjo vs(d) je dobro tudi pri majhnih debelinah. To navaja na misel, da je vmesna plast med Si in Si02 tako tanka, da je njen vpliv za te debeline praktično zanemarljiv. Domneva se sklada s podatkom iz literature, da je vmesna plast debela le reda velikost 1 nm,9 kar je dva reda manj od najtanjšega obravnavanega vzorca. debelina oksida ( ^m ) Slika 3: Odvisnost položaja valenčnega nihanja povezovalnega kisika v absorbančnih spektrih od debeline vzorcev za popuščanje pri 90(fC p;, 95CPC p; in 105uC Prazni znaki pomenijo računske točke, polni pa položaje traku v izmerjenih absorbančnih spektrih (glej tudi tabelo II i). Takoj po depoziciji so oksidne plasti različne z vidika stehiometrije in vsebnosti primesi (npr. vezana voda, hidroksilne skupine, silanolne grupe ipd.). Plasti so lahko tudi različno porozne (lomni količnik v bližnjem IR področju). Visoka temperatura v dušikovi atmosferi zgosti oksidne plasti (zmanjšanje debeline). Prej spektroskopsko vidne vezane primesi izginejo, IR spekter postane kvalitativno neločljiv od spektra termičnega oksida - temperaturno popuščane plasti imajo sestavo SiC>2. V okviru naše natančnosti lahko trdimo, da imajo oksidi, ki so bili popuščani na enak način, enako tudi strukturo ne glede na način depozicije. Z višanjem temperature popuščanja postaja struktura oksida vedno bolj trdna. Sodelavcema ISKREMikroelektronike Ljubljana Ratko-tu Kuzmi in Tonetu Sušcu se zahvaljujeva za izdelavo tankih plasti in meritve njihovih debelin ter lomnih količnikov. V. ZAKLJUČEK Opazovali smo nekatere efekte, povezane z amorfno strukturo silicijevih oksidov s skupno formulo SiOx. Ugi-tovitve je mogoče strniti takole: Za obojestransko polirane substrate se zahvaljujeva Miranu Krambergerju iz ISKRE polprevodniki Trbovlje. Akademiku prof. dr. Dušanu Hadžiju se zahvaljujeva za kritične pripombe in koristne nasvete. 203 Informacije MIDEM 20(1990)4, str. 201-204 M. Klanjšek Gunde, B. Aleksandrov: Tankoplastni _ silicijevi oksidi; III. Valenčno nihanje Tabela I. Podatki o silicijevih rezinah, ki smo jih uporabili za substrate. Vse rezine so bile n tipa. Odrezane so bile kristalni ravnini <100> od monokristala tipa Czochralski. V tabeli so podane debeline (D), upornosti (Ro), koncentracije intersticialnega kisika (Oi), tip poliranja in proizvajalci za vse tri tipe rezin, ki smo jih uporabili. Tabela III. Disperzijske frekvence valenčega nihanja povezovalnega kisika (vs°) v nekaterih vzorcih. Dobljene so kot maksimumi funkcije dielektričnih izgub za homogeno snov. D p (mm) (ficm) Oi poliranje (cm-3)* proizvajalec A 0.52 10 5.7x1017 obojestransko ISKRA Trbovlje B 0.55 2 - 5 6.9x1017 enostransko Wacker C 0.55 2 - 5 7.3x1017 enostransko Dynamit Nobel * določeno po IR metodi10 na nepopuščanih rezinah vzorec Tp(°C) vs° (cm'1) TF3 900 1068.3 TF6 900 1067.6 TF4 950 1070.3 TF7 950 1070.8 TF5 1050 1074.0 TF8 1050 1073.7 Tabela II. Priprava različno debelih silicijevih oksidov. Vsi vzorci so bili popuščani 15 minut v dušikovi atmosferi pri različnih temperaturah (Tp). V tabeli so podani tip substrata (Si, glej tudi tabelo I), hitrosti nanašanja (hp), temperature depozicije (Td), debeline oksidnih plasti (d) in položaj valenčnega nihanja povezovalnega kisika (vs). Literatura 1. M. Nakamura, Y. Mochizuki and K. Usami, Solid State Communications 50(1984), 1079-1081 2. W. A. Pliskin, D. R. Kerr, and J. A. Perri, "Thin Glass Films" v Physics of Thin Films, vol. 4, (1967) 257-324 3. Takehiko Kubota and Mototaka Kamoshida, Japanese Journal of Applied Physics 11 (1972), 15-19 4.1. W. Boyd and J. I. B. Wilson, J. Appl. Phys. 53 (1982), 4166-4172 5. Minoru Nakamura, Ryosaku Konzawa, and Kohji Sakai, Journal of the Electrochemical Society, Solid State Science and Technology 133(1986) 1167-1171 6. J. P. Hawranek, P. Neelakantan, R. P. Young and R. N. Jones, Spectrochim. Acta 32A,( 1976) 85-98 7. D. Y. Smith, "Dispersion Theory, Sum Rules, and Their Application to the Analysis of Optical Data" v Handbook of Optical Constants of Solids, editor Edward D. Palik, Academic Press 1985, str. 35-68 8. M. Klanjšek Gunde, B. Aleksandrov, Applied Spectroscopy 44, 1990, pp 970-974 9. B. J. Mrstik, A. G. Revesz, M. Ancona and H. L. Huges, J. Elec-trochem. Soc,: Solid-State Science and Technology, 134 (1987) 2020-2027 10. B, Aleksandrov, B. Orel, Kvantitativna analiza intersticijalnega kisika silicijevih rezin z FTIR spektroskopijo, MIEL - XVI. jugoslovansko posvetovanje o mikroelektroniki, Zagreb maj 1988, Zbornik referatov str. 17-24 mag. Marta Klanjšek Gunde, dipl. ing. Kemijski inštitut Boris Kidrič Hajdrihova 19, Ljubljana vzorec Si hD Td Tp d vs (nm/min) (°C) (°C) (nm) (cm'1) TF3 B 46,0 350 900 1044.5 1087.5 TF6 B 66.3 350 900 1080.4 1088.2 TF4 B 46.0 350 950 1036.4 1090.4 TF7 B 66.3 350 950 1076.9 1090.7 P1 A 3.5 160 950 192.7 1078.1 P2 A 41.1 355 950 135.3 1076.4 P3 A 20.1 355 950 160.4 1077.9 01 A 0.19 750 950 114.5 1074.7 S1950 C 49.2 350 950 417.6 1083.5 S2950 C 50.1 350 950 620.2 1087.3 S3950 C 50.2 350 950 819.9 1089.8 TF5 B 46.0 350 1050 1025.4 1092.6 TF8 B 66.3 350 1050 1081.9 1093.1 S11050 C 49.2 350 1050 409.2 1086.2 S21050 C 50.1 350 1050 614.2 1089.5 S31050 C 50.2 350 1050 801.9 1091.2 S41050 C 49.7 350 1050 987,8 1093.5 Boris Aleksandrov, dipl. ing. Brillejeva 4, Ljubljana Prispelo: 23.02.1990 Sprejeto: 23.10.1990 204 UDK 621.3:(53+54+621 +66), ISSN 0352-9045 Informacije MIDEM 20(1990)3, Ljubljana NOVE TEHNOLOGIJE ZA IZDELAVO Fe-Nd-B MAGNETOV Boris Saje, Janez Hole, Spomenka Beseničar KLJUČNE BESEDE: trajni magneti, magneti FeNdB, magneti redkih zemelj, sintrani magneti, magnetne zlitine, magnetne lastnosti, tehnologija POVZETEK: Pripravili smo pregled tehnologij za pripravo trajnih magnetov na osnovi zlitine Fe-Nd-B, ki so bili razviti kot alternativa postopku prašne metalurgije. Opisani so postopki ultrahitrega ohlajanja, mehanskega legiranja, rotacijskega kovanja, hladnega stiskanja, vročega stiskanja, utopnega kovanja, ekstrudiranja in toplotne obdelave. Podane so tudi magnetne lastnosti, ki jih s posameznimi postopki dosežemo. NEW TEHNOLOGIES FOR PRODUCING Fe-Nd-B MAGNETS KEYWORDS: permanent magnets, FeNdB magnets, sintered magnets, rare earth magnets, magnetic alloys, magnetic properties, tehnology ABSTRACT: A review of tehnologies for manufacturing permanent magnets on basis of Fe-Nd-B alloy was prepared. These tehnologies were developed as alternative to powder metalurgical process which is sophisticated and time and energy wasteful. Processes of rapid quench melt spinning, mechanical alloying, rotary forging, cold compacting, hot pressing, die- upset forging, extruding and annealing are described. A brief rewiew of magnetic properties coresponding to these processes is also given. UVOD Od leta 1984, ko so bili objavljeni prvi članki'1' o pripravi kovinskih trajnih magnetov na osnovi zlitine Fe-Nd-B s postopkom prašne metalurgije, je bilo razvitih mnogo novih tehnoloških postopkov. Razlogi so predvsem trije'2': - Prašno-metalurški postopek'1' je tehnološko zapleten ter časovo in energetsko potraten. Težnja je zmanjšati število tehnoloških stopenj na minimum. - Glede na zahteve uporabnikov magnetov, ni univerzalnega procesa za izdelavo Fe-Nd-B magnetov. Kvaliteto oz. primernost magneta za aplikacijo lahko definiramo le v okviru njegovih prednosti na točno določenem področju uporabe, ki določa preferen-čne lastnosti magneta (magnetne lastnosti, termično obstojnost, korozijsko obstojnost, mehansko trdnost, ceno)'3'. - izogniti se patentnim zaščitam, kar je možno predvsem na dva načina in sicer z definiranjem nove tehnologije ali z definiranjem nove sestave. POSTOPKI ZA IZDELAVO PRAHOV ALI MAGNETNE ZLITINE Kalciotermična redukcija Kmalu po odkritju zlitine so pri firmi Goldschmidt'5' razvili postopek za pripravo prahov direktno iz oksidov redkih zemelj in sicer s kalciotermično redukcijo. Postopek je poznan tudi kot redukcijsko - difuzijski proces. Redukcija s Ca poteka zato, ker je prosta energija za Ca oksid nižja od energije za Nd oksid v vsem temperaturnem ob- močju. Delamo v inertni zaščitni atmosferi z 20 do 50% prebitkom Ca. Postopek poteka v treh stopnjah: - redukcija neodimovega oksida s Ca - tvorba Fe-Nd-B zlitine z difuzijo pri povišani temperaturi (860- 1050°C) - luženje Ca oksida pri sobni temperaturi v vodi, ki vsebuje organska topila. Proces poteka po enačbi: 8Nd203 + 64 Fe + 20Fe0.6B0.4 + 24 Ca Ndi6Fe76B8 + 24 CaO Izluževanje CaO pa po enačbi: Ca + CaO + 2 H20 2 Ca(OH)2 FeB navadno vsebuje Al, za katerega je bilo naknadno ugotovljeno, da vpliva na mikrostrukturo in s tem na magnetne lastnosti. Zato se je osnovni kalciotermični postopek modificiral v postopek kjer ne uporabljajo FeB'2' in poteka po enačbi: 8 Nd203 + 4 B203 + 5 Fe203 + 66 Fe + 51 Ca NdieFeyeBs + 51 CaO Naknadno je bil odkrit postopek za pripravo NdFeB prahu iz NdCI3'6', ki je cenejši, ker odpade pretvorba v Nd203, in poteka po enačbi: 16 NdCI3 + 76 Fe + 8 B + 24 Ca -> Ndi6Fe76B6 + 24 CaCI2 Ultra hitro strjevanje (rapid quench melt spinning) Postopek je bil razvit skoraj istočasno s prašno-metal-urškim postopkom'9'. S to tehnologijo dobimo amorfen ali mikrokristaliničen metastabilen prekurzorza izdelavo prahov ali magnetov. 205 Informacije MIDEM 20(1990)4, str. 205-210 B. Saje, J. Hole, S. Beseničar: Nove tehnologije za _ izdelavo Fe-Nd-B magnetov Slika 1: Shematični prikaz naprave za hitro ohlajanje zlitine ' Aparatura je prikazana na sliki 1. Proces poteka v vakuumu ali zaščitni atmosferi (navadno Ar). Talina pod tlakom brizga skozi šobo na hitrovrteči se valj iz Cu zlitine, kjer se ohlaja odvisno od premera šobe, tlaka brizganja in hitrosti vrtenja kolesa, z ohlajevalno hitrostjo okoli 106K/s. Na kolesu dobimo 30 - 50 um debel in okoli 2 mm širok, krhektrak, ki je magnetno izotropen. Magnetne lastnosti so odvisne od ohlajevalne hitrosti'10'. Prekaljen (overquenched) trak je amorfen, z magnetnimi lastnostmi B( = 0.3 T, Hci = 40 kA/m in (Bhf)max = zanemarljiv. Optimalno kaljen (optlmaly quenched) trak je mikrokris-talinlčen s sferoidnimi zrni Nc^Fe-uB faze premera od 20 do 100 nm in amorfno Nd-Fe fazo premera 2 nm na mejah zrn. Magnetne lastnosti Br = 0.85 T, /-fci = 1114 kA/m , (eH)max = 111 kJ/m3. Podkaljen (under-quench) ima še večja zrna kot optimalno kaljen in magnetne lastnosti Br = 0,7 T, Ha = 875 kA/m In (BH)max = 64 kJ/m3. Slika 3: Potek priprave trapih magnetov NdFeB po standardnem prašno-metalurškem postopku4' ca že med samim procesom ali pa je potrebna dodatna toplotna obdelava kot pri Fe-Nd-B zlitini, ker amorfen B med samim procesom mletja ne difundira v zlitino'8'. Kot izhodno surovino uporabljamo za izdelavo NdFeB prahu čiste komponente in sicer Fe velikosti 5 do 40 |im, Nd velikosti 0.5 mm in podmikronski amorfen B. Trakove, tako kot mehansko legiran prah, obdelamo do končnega izdelka z različnimi postopki, ki bodo opisani kasneje, da dobimo odgovarjajočo obliko, gostoto in lastnosti magnetov. Mehansko legiranje (mechanical alloying) Proces, ki omogoča izdelavo homogene zlitine, je inter-difuzija med plastmi kompozitnih delcev, ki se tvorijo med postopkom mehanskega legiranja'7'. Shematično je postopek prikazan na sliki 2. Delci prahu se v procesu mletja z jeklenimi kroglami v visokoenergetskih attritorjih v argonu, močno deformirajo in hladno varijo med seboj, kar vodi najprej do večplastne strukture, nato pa do zrn s homogeno sestavo. Interdlfuzija lahko poteče do kon- Dobimo amorfen oziroma mikrokristaliničen prah z magnetnimi lastnostmi, ki so v območju magnetnih lastnosti prahov, ki jih dobimo z postopkom hitrega ohlajanja. Nadaljna obdelava prahu do magnetov poteka po postopkih hladnega ali vročega stiskanja in utopnega kovanja. Hidriranje zlitine (Hydrogenation-decrecipitation process) To je postopek'11) s katerim dobimo prah iz taljenih ingotov obenem pa se Izognemo drobljenju in mletju na grobih stopnjah. 206 B. Saje, J. Hole, S. Beseničar: Nove tehnologije za izdelavo Fe-Nd-B magnetov Informacije MIDEM 20(1990)4, str. 205-210 segrevanje zlitine v vodiku do temperature sintranja in nato ohlajanje v vakuumu, med katerim vodik desorbira iz zlitine' ' 1S'. Prahovi so izotropni, velikosti okrog 500 (im in brez dodatne obdelave pripravljeni za hladno oblikovanje z dodatkom polimernih ali kovinskih veziv. Magnetne lastnosti tako pridobljenih prahov so Sr = 0.77 T, Hc\ = 720 k A/m in {Bhi)max = 100 kJ/m3. POSTOPKI ZA IZDELAVO MAGNETOV Slika 4: SEM posnetek preloma nehidrirane zlitine Slika 5: SEM posnetek hidhrane zlitine (A = NdzFe14B, matrična faza, B = med matrični prostori) Osnova postopka je v tem, da Fe-Nd-B zlitina tvori z vodikom krhke hidride s pozitivno spremembo volumna. Zlitina zaradi tega med hidriranjem razpoka, hidriran prah pa je krhek in gaje v nadaljnem postopku zelo lahko domleti do ustrezne velikosti v krajših časih kot nehidri-ran prah. Na slikah 4 in 5 je prikazana razlika med strukturo preloma nehidrirane in hidrirane zlitine. Razvidno je (slika 5), kako zlitina zaradi tvorbe hidridov transkristal-no in intergranularno razpoka. Proces hidriranja je bil vpeljan na Odseku za Keramiko, Instituta "Jožef Stefan" v sodelovanju z Iskra Magneti v okviru razvoja tehnologije in parametrov priprave Fe-Nd-B magnetov. Hidriran prah je tudi manj občutljiv na oksidacijo, saj vodik tvori zaščitno bariero na površini prašnih zrn. Vodik iz zlitine odstranimo šele med procesom sintranja v vakuumu, seveda pa zahteva to prilagojene temperaturne režime segrevanja vzorcev. Kot varianta obdelave magnetne zlitine z vodikom je bil razvit tudi HDD (hydrogénation, disproportionation, de-sorption) postopek'18' izdelave magnetnih prahov za izdelavo plastično vezanih magnetov, pri katerem gre za Prašno-metalurški postopek Potek standardnega prašno-metalurškega postopka'4', za izdelavo NdFeB magneta je prikazan na sliki 3. Priprava zlitine poteka navadno z indukcijskim ali elektro obločnim taljenjem predzlitin ali čistih komponent v vakuumu ali v zaščitni atmosferi. Za drobljenje ingotov do granulacije 500 |_im uporabljamo navadno čeljustne ali udarne drobilnike, meljemo pa v attritor, jet ali vibro mlinih do granulacije okrog 5 |im. Čas mletja naj bi bil čim krajši s čemer se izognemo pretirani oksidaciji prahu. Zagotovil naj bi tudi odgovarjajočo velikost delcev, po možnosti velikost monodo-menskih delcev, od česar so odvisne magnetne lastnosti, in dovolj veliko reakcijsko površino, od cesarje odvisna sinterabilnost prahu. Usmerjanje prahov poteka navadno v impulznem magnetnem polju jakosti okoli 5 T s katerim zagotovimo največjo možno magnetenje v dani smeri in s tem magnetno anizotropen material. Usmerjanje se lahko kombinira s stiskanjem, ali pa prah usmerimo in stisnemo v izostatski stiskalnici. Sintranje in toplotna obdelava potekata v vakuumu ali zaščitni atmosferi. Sintranje naj bi zagotovilo magnet z visoko gostoto, od česar je odvisna predvsem reman-entno magnetenje, brez odprte poroznosti, ki je lahko vzrok za oksidacijo in korozijsko nestabilnost magneta. S toplotno obdelavo povečamo predvsem koercitivno silo. Trend novih tehnologij je v zmanjšanju tehnoloških stopenj osnovnega postopka ali v zamenjavi posameznih tehnoloških stopenj z novimi. Hladno stiskanje Pri hladnem stiskanju'12' lahko kot vložek uporabljamo trakove dobljene z ultrahitrim ohlajanjem, mikrokristall-nične mehansko legirane prahove ali pa prahove dobljene s HDD postopkom. Prah zmešamo z vezivom (epoksi smole, najlon, silikonska guma) in hladno stisnemo s tlaki od 600 do 700 MPa. Postopek je shematično prikazan na sliki 6.a. Doseže se ponavadi okoli 85 % teoretične gostote NdžFeuB, posledica tega pa so manjše magnetne lastnosti glede na izhodni prah. S tem postopkom dobimo 207 Informacije MIDEM 20(1990)4, str. 205-210 B. Saje, J. Hole, S. Beseničar: Nove tehnologije za __izdelavo Fe-Nd-B magnetov vezivo A a: i ' v ' b) c) 50 /um Slika 6: Obdelava prahov z a) hladnim stiskanjem b) vročim stiskanjem in c) utopnim kovanjem' ' izotropne magnete, ki jih ni potrebno dodatno mehansko obdelovati, magnetnih lastnosti B, = 0.7 T, Hc\ =1114 kA/m in {BH)max = 72 kJ/m3. Mikrostruktura vzorcev je shematično prikazana na sliki 7.a. Kot varianta hladnega stiskanja se uporablja za vložek prah, ki je predhodno obdelan v plazmi(13), ki prah očisti in aktivira za stiskanje s 30 MPa. S tem povečamo Br za 50 mT. Vroče stiskanje Postopek vročega stiskanja'12' poteka brez dodatkov veziv,s tlakom okoli 100 MPa pri temperaturi okrog 700°C v argonu (slika 6.b). Stopnja deformacije je nizka, toliko da dosežemo obliko, proces poteka nekaj minut. Doseže se 100% TG. Kot vložek uporabljamo amorfen prekaljen trak , ker med procesom zaradi temperature pride do rasti zrn, tako da je končna mikrostruktura podobna mikrostrukturi optimalno kaljenih trakov samo zrna so večja (slika 7.b). Slika 7: Shematičen prikaz mikrostrukture a) hladno stisnjene, b) vroče stisnjene in c) utopno kovane zlitine' ' Stopnja magnetne anizotropije dobljenih magnetov je majhna, okrog 10% razlike med smerjo vzporedno smeri stiskanja in pravokotno na smer stiskanja, magnetne lastnosti pa so Br = 0,8 T, Hci = 1353 kA/m in (BH)max = 80 do 150 kJ/m3. Varianta vročega stiskanja amorfnih ali mikrokristali-ničnih trakov je vroče stiskanje vlite zlitine ,Seiko-Epson postopek'12', ki jo naknadno toplotno obdelamo in dosežemo magnetne lastnosti B, = 1,25 T, Hc i = 800 kA/m in {BH} max = 285 kJ/m . Utopno kovanje (die-upset forging) Pri utopnem kovanju gre za mehansko preoblikovanje vroče stisnjenega vzorca pravokotno na smer stiskanja (slika 6.c). Proces poteka pri okoli 700°C in tlaku 600 MPa<12). Bistvena razlika med vročim stiskanjem in utopnim kovanjem pa sta hitrost in stopnja deformacije. Proces poteka nekaj sekund z velikimi redukcijami začetne višine - do 75 %. 208 B. Saje, J. Hole, S. Beseničar: Nove tehnologije za izdelavo Fe-Nd-B magnetov Informacije MIDEM 20(1990)4, str. 205-210 Vzorec je magnetno anizotropen - 85 % razlike v magnetnih lastnostih paralelno in pravokotno na smer kovanja - in ima magnetne lastnosti Br = 1,35 T, Hc\ = 875 kA/m in (BH)max = 320 kJ/m3. Mikrostruktura kovanega vzorca je prikazana na sliki 7.c. Rotacijsko kovanje (rotary forging) To je postopek hladnega kompaktiranja za izdelavo plastično vezanih magnetov'15'. Shematično je aparatura prikazana na sliki 8. Postopek teče pri sobni temperaturi v argonu in traja nekaj sekund. Zaradi kratkega časa stiskanja in dobrega odvajanja toplote ne pride do lokalnega pregrevanja vzorcev, ki bi povzročilo rast zrn. Kot vložek uporabljamo zmes iz 90% prahu iz hitro ohlajenih trakov in 10% veziva, ki je navadno mehka kovina v prahu kot npr. Al. Parametri, ki jih lahko kontroliramo so pritisk koničnega bata (p = 0.7 do 1,3 M Pa) in podajanje matrice. Pri postopku dosežemo 100% TG in magnetne lastnosti Br = 1,05 T, Ha = 1150 kA/m in (BH)max = 230 kJ/m3. NAKLON BATA SMER VRTENJA BATA KONIČNI BAT VZOREC-PRAH MATRICA SMER VRTENJA MATRICE OSNA SILA CONA DEFORMACIJE Slika 8: Shema naprave za rotacijsko kovanje<15) Ekstruzija ("Grenoble" postopek) Odvisno od izhodne zlitine je lahko ekstruzija(16) v hladnem ali v vročem. Pri postopku v hladnem uporabljamo atomiziran prah, ki ga enkapsuliramo iri nato hladno ekstrudiramo. Temu sledi toplotna obdelava in odstranitev kapsule. Pri postopku v vročem, pa izhajamo neposredno iz vlite zlitine. Iztisnjence po iztiskanju še toplotno obdelamo. Dosežene magnetne lastnosti so v območju B, = 0.7 do 0.8 T, Hci = 950 do 1200 kA/m in (BH)max = 150 kJ/m3. Vlivanje In toplotna* obdelava To je varianta Seiko-Epson postopka'14', ki je s tem postopkom najbolj zreduciral tehnološke stopnje prikazane na shemi 3. Pretaljeno in vlito zlitino z dodatki Cu in Pr direktno toplotno obdelamo in dosežemo magnetne lastnosti Br = 0,6 do 0.8 T in HC\ = 950 kA/m. SMERNICE RAZVOJA Osnove izpopolnjevanja starih tehnologij in razvoja novih lahko strnemo v sledečih točkah: - Izdelava mikrokristaliničnega hitro ohlajenega traku, ki bi bil magnetno anizotropen že po samem postopku hitrega ohlajanja brez dodatne mehanske ali toplotne obdelave. - Zmanjšanje zapletenih, dolgotrajnih in energijsko potratnih tehnoloških faz postopkov (drobljenje,sin-tranje, toplotna obdelava). - Izdelava zlitine, ki bi izkazovala magnetne lastnosti že po samem vlivanju ali pa v kombinaciji s kratkotrajno toplotno obdelavo. Izkušnje zadnjih trideset let pa kažejo'17', daje prej odkrit nov material, nova zlitina, kot pa so izkoriščene teoretične možnosti starega materiala. LITERATURA 1.M. Sagawa in ostali:"Permanent magnet materials based on the Rare Earth - Iron - Boron tetragonal compound", IEEE Trans. Mag. MAG 20, 1984, 1584- 1589. 2. I.R. Harris:"Possible new developments in magnets", Lecture notes, NATO ASI, Supermagnets, Hard magnetiuc materials, 10. -22. 6. 1990. Il-Ciocco, Italy. 3. K. J.Strnat:"Permanent magnets based on 4f-3d compounds", IEEE Trans. Mag.,MAG 23 (5), 1987, 2094-2099. 4.J. Ormerod:"Processingh and physical metallurgy of NdFeB and other RE magnets", v Nd-Fe permanent magnets: Their present and future application, (I.V. Mitchell ed.), Brussels, Belgium, 1985. 5. K.H.J. Buschow:"New permanent magnet material", Materials science reports, 1, 1986, 1-64. 6.G.Sun in ostal:"The synthesis of Nd-Fe-Co-B by reduction- diffusion and its magnetic properties", J.Appl. Phys. 64(10), 1988, 5519-5521. 209 Informacije MIDEM 20(1990)4, str. 205-210 B. Saje, J. Hole, S. Beseničar: Nove tehnologije za _ izdelavo Fe-Nd-B magnetov 7. J.S.Benjamin:"Mechanical alloying", Sci. American, 234 (5), 1976, 40-48. 8. L. Schultz in ostall:"Formation and properties of NdFeB prepared by mechanical alloying and solid-state reaction, J.Appl. Phys. 61 (8), 1987, 3583-3585. 9. J.J.Croat in ostali:"Pr-Fe and Nd-Fe based materials: A new class of high performance permanent magnets", J.Appl.Phys., 55(6), 1984, 2078-2082. 10.J.F.Herbst:"Rapidly solidifiedd RgFeuB-type permanent magnet materials", Lecture notes, NATO ASI, Supermagnets, Hardmag-netic materials, I0.-22. 6. 1990, ll-Ciocco, Italy. 11. I.R.Harris:"The hydrogen decrepitation of an Ndi5Fe77Ba magnetic alloy", J.Less Comm. Met. 106 , 1985, L1-L4. 12. R.W.Lee:"Hot pressed neodymium- iron- boron magnets", Appl. Phys. Lett. 46(8), 1985, 790-791. 13. M. Wada:"New method of making Nd-Fe-Co-B full dense magnet, INTERMAG 90, International magnetic conference, 17-20. 4. 1990, Brighton, UK. 14.T.Shimada:"High-energy cast Pr-Fe-B magnets", J. Appl. Phys, 64(10), 1988, 5290-5292. 15.N.Rowlinson:"Anisotropy induced by the rotary forging of rapidly quenched Nd-Fe-B ribbons," J. Mag.Mag. Mat., 87, 1990, 93-96.. 16.J.P.Nozieres:"Permanent magnets prepared by hot working cast ingots of NdFeB, J.Magh.Mag.Mat., 80, 1989, 88-92. 17. H. Kronnmueler:"Micromagnetic concepts in the developement of High-Tech permanent magnet", Lecture notes NATO ASI, Super-magnets hardmagnetic materials, 10.-22. 6. 1990. Il-Clocco Italy. 18. T. Takeshita, R. Nakajama:" Magnetic properties and micro-structure of the NdFeB magnet powder produced by hydrogen treatment", Proc. 10th Int. Workshop on Rare Earth Magnets and Their Application, Kyoto, (1989), 551. 19. P.J. McGuiness in ostali:"Hydogenation, disproportionation and desorption (HDD):An effective processing route for Nd-Fe-B type magnets", J. Less common Met., 158, (1990), 359-365. 20. L. Schultz:"Preparation and properties of mechanically alloyed Nd-Fe-B magnets", NATO-ASI Lecture Notes, Supermagnets, Hard magnetic materials, 10 do 22. 6. 1990, ll-Ciocco, Italy. Boris Saje, dipl. ing. met., ISKRA Magneti, Stegne 37, 61000 Ljubljana dr. Janez Hole, dipl. ing. kem., Institut "Jožef Stefan", Jamova 39, 61111 Ljubljana mgr. Spomenka Beseničar, dipl. ing. kem., Institut "Jožef Stefan", Jamova 39, 61111 Ljubljana. Prispelo: 23.10.90. Sprejeto: 27.11.90. 210 UDK 621.3:(53+54+621 +66), ISSN 0352-9045 Informacije MIDEM 20(1990)3, Ljubljana GALs - GENERIC ARRAY LOGIC (Part III) (A member of PLDs family) Zlatko Bele KEYWORDS: Programmable logic circuits (PLDs), integrated circuits, Aplication specific integrated circuits (ASIC), EECMOS, Output Logic Macro Cell (OLMC), GAL ABSTRACT: In previous parts of the article an overview and detailed architecture of GALs has been presented.Part III deals with programming software and hardware for GAL devices as well as programming example. A way of emulating conventional PAL devices with GAL devices is also presented. GALi - Generične logične mreže (III.del) KLJUČNE BESEDE: programabilna logična vezja (PLDs), integrirana vezja, vezja po naročilu (ASIC), EECMOS, izhodne logične makrocelice (OLMC), GAL POVZETEK: V prvih dveh delih članka je bil podan pregled in podrobna zgradba GAL programabilnih logičnih vezij, tretji del pa opisuje programska in strojna orodja za programiranje GAL vezij skupaj s konkretnim primerom programiranja.Podan je tudi način emulacije konvencionalnih PAL vezij z GAL vezji. 1. INTRODUCTION Apperance of first PLD devices (bipolar PAL's) falls into early 70's. At that time this devices had a quite difficult time being accepted by system's and board designers due to the lack of good programming software. It was necessary to load each individual fuse location into the devices after extensive analysis of the design requirements.This was a slow and cumbersome process requiring the designer to learn the architecture of many different devices in addition to the fact that logic errors could not be automatically identified. Development and availability of user-friendly and functional software tools had the main contribution to the tremendous upsurge in the usage of the PLD devices in late 80's. 2. SOFTWARE TOOLS The most popular early assembler based programming software for PAL devices was PALASM from Monolithic Memories. This assembler allows inputs only as Boolean equations, has a difficult command structure, allows equations only in SOP (sum-of-products) format, works on PAL devices only and has no intelligence i.e. unable to do logic minimization or identify specific device types which will or will not work with a given set of Boolean equations. The most severe restrictions of this type of approach was the inflexbility of the software to work on other vendors devices thus forcing designers to learn many different assemblers in order to have more than one device supplier to choose from. The development of compiler based software in the early 80's was the response to the need for more flexibility and utility in development tools. The original packages were developed by third-party manufacturers, not device vendors, with the goal of supporting all device types and all manufacturers. This original packages as "CUPL" from Personal CAD systems and "ABEL" from DATA I/O Corp. had the capability of logic equation minimization,macros,truth table and state machine syntax and self-documentation. The latest advance in the PLD development software has occured in the mid-80's. These programs allow schematic capture using pre-programmed macros in the software which allow a designer to simply create a logic shematic as the input to a translator. The translator converts the graphic representation to a network list that is then compiled to the fuse maps by the software tool. Ail the other functions of the software such as logic minimization are then available to streamline the design before it is downloaded Into a device. The most widely used of these tools are "CAE-1" from Personal CAD systems and "DASH" from DATA I/O. 3. HARDWARE TOOLS The hardware used to program GAL devices can be divided roughly into two types: a) Universal programmers b) GAL-only programmers 211 Informacije MIDEM 20(1990)4, str. 211-215 Z. Bele: GALs - Generic Array Logic (Part III) a) Universal programmers In this case "universal" means with respect to PLD devices only, this terminology should not be confused with the broader sense of "universal" programmers, meaning those that program EPROM memories or EPROM arrays in microprocessors as well as PLD's. In these category of universal type programmers are those from DATA I/O and STAG MICROSYSTEMS as well as many others. These programmers support many different PLD devices, including ECL, CMOS EPROM, standard bipolar PALs and GAL EECMOS device types. These universal programmers also support many advanced functions such as test vectors, register preload, and even automatic chip handler control in a production environment. b) GAL-only programmers Main advantage of GAL-only programmers is, of course, their low price. Well known vendors of such programmers are QWERTY and PROGRAMMABLE LOGIC TECHNOLOGIES. The QWERTY also supports test vectors and registers preload for full functional testing of GAL devices. The type of tool choosen should reflect the environment it will be used in. This means that GAL-only programmer could be considered in an operation where GAL only development and small volume production, is occuring in a situation where a low-cost evaluation and programming of GALs is necessary. However in a large development lab where many types of PLDs are being evaluated or a high volume production environment where automated handling of devices is necessary, a universal type programmer with chip handler may be more appropriate. A prime consideration should also be the necessary functions of the programer as well. For example altough a 100% programming yield of GAL devices is guaranteed by vendor, test vector and register preload capability is recommended for the designer to verify that the .device is doing exactly what was planned. 4. PROGRAMMING PROCESS Programming GAL device is the process of providing it with so called "JEDEC" file. This file has got its name by the standards organization JEDEC with the representatives from major semiconductor companies on its committees, which has approved a standard for the interchange of PLD data. So JEDEC file is used as the medium of transfer from the development computer environment to that of the hardware device programmer. Included in the file are control bits that determine the status of programming cells, status of security cell, test vectors and data-transsmision checksum. Test vectors, if included, indicate the stimulus and response for a PLD and serve primarily to validate the functionality of a design source file. JEDEC file is written into the device by applying a series of specific voltage pulses."Responsibility" of the programmer manufacturer refers to his ability to provide the correct voltages and timing pulses and make the correct measurements on the outputs,if applicable,for the device. JEDEC file is produced from a design source file which is written in a specific syntax and compiled with a compiler based programming software. As mentioned before one of the most known such a software is that of CUPL from Personal CAD systems. First what we have to do developing a design source file using CUPL or in general some other development software is to tell the software which type of GAL device will be used. Then entry of some optional informations such as company name, design description, designer name is provided. The device pinout and pin labels need to be specified next. Convenient names should be used since the software doesn't care what the pin is called as long as we are consistent. Pin definition example is as folows: / * inputs * / pin (1,2) = (A, !B); / * outputs * / pin (18,19) = (Y,!Z); It is also a good idea to specify pin names in a format that is consistent with the actual pin state. In the above definitions, signals A and Y are active high, while B and Z are active low. An eclamation point prefixing label is used to indicate active-low data signals. The use of active-high variation of these signals in subsequent design statements will automatically be resolved by the software compiler. Entry of logic functions is next. Traditionally, this entry is in the form of Boolean equations. Current revisions of CUPL software allow truthtable, state-macine and she-matic-entry formats, as well. In example below a traditional equation-entry format is used to create an AND function on Y (pin 18) and an XOR function on Z (pin 19). Since Z has been defined as an active-low signal, however, function on pin 19 is actually XNOR: / * logic equations *) Y = A & B; Z= !A&B#A& IB; The opeartors used in the CUPL language are "!" for invert, "&" for the AND function and "#" for the OR function. The equations are written exactly as needed. All of the inversions for active-low inputs and outputs will be automatically resolved, a routine procedure for the compiler software. Altough these are simple equations, if they had been complex ones that needed automatic reduction to a specific number of product terms for a given PLD, the software would have performed that reduction, as well. Next, the CUPL compiler needs to be invoked to process the " source" file. Through compiling process a JEDEC file is produced together with so called "documentation file". The purpose of this file is to provide a 212 Z. Bele: GALs - Generic Array Logic (Part Iii) Informacije MIDEM 20(1990)4, str. 211 -215 W w = E • F X - G + H Y = l©J = (|.J) + (i.J) Z = K®L = {K-l)»(K-L) Fig. 1 Basic Logic Gates hard-copy documentation of the final (reduced) equations, the cell map and chip diagram, if desired. As an actual example an implementation of the basic logic gates AND, OR, NAND, NOR, and XNOR (see Figure 1) in a GAL16V8 device is presented next. Since 12 inputs and 6 outputs are needed (Figure 2), 2 Output Logic Macrocells (OLMCs) must be configured as dedicated inputs and 6 as dedicated combinational outputs. Programming software automatically handles this task. Active-high or low outputs are no problem either, becouse of the programmable polarity feature of the GAL16V8. Figure 3 shows a CUPL design input source file as it has to be prepared to program GAL16V8 with basic logic gates from Figure 1. Once this file is compiled with CUPL compiler a JEDEC file as shown on Figure 4 is produced. Zeros in the cell's field represent active cells. Detailed and complete cell map or so called 'fuse plot' for mentioned example is presented on Figure 4. As can be seen all inactive cells have to be programmed. As mentioned, for testing the functionality of the device and design verification a "test vectors" has to provided by means of CUPL simulation file. In this case JEDEC file includes also test vectors. Both files are shown on Figure 5 and Figure 6 respectively. At the end of programming process a 'documentation' file is generated which consists of: - Expanded product terms ( Figure 7) - Symbol table (Figure 8) - Chip diagram (Figure 9) - Fuse plot (allready shown on Figure 4) The patterning of the GAL device array is done using a parallel programming scheme. This allows the device to be programmed very fast and in fact is less than a second on most programming hardware. This is up to an order of magnitude faster than device using the UV-CMOS approach. During this programnming cycle, the logic array, the architecture matrix programming and Fig. 2 GAL 16V8 Basic Gates Pinout Name GATES; Partno 00001 ; Date 29/06/87 ; Rev i s ion 01 ; Desi gner ALBERTO; Company SOS-THOMSON Mioroe 1 gotroniOB Assembly PC AT; Location PLZN1; FORMAT JEDEC; /**#***»* a********************************** ***********************/ /» */ /» BASIC GATES : INPUT FILE */ /* */ /******** *********************************** ***********************/ Allowable Target Device Types: G16V8 ******** *******/ /»« Inputs **/ Pin [19,1] = [A,B] /* INPUTS AND * Pin [2,3] = [C,D] /» INPUTS OR * Pin [4,5] = CE.FJ /* INPUTS HAND * Pin [6,7] = [G,H] /* INPUTS NOR * Pin [8,9] = [I,J] /» INPUTS XOR * Pin [11,12] = [K,L] /* INPUTS XNOR * /** Outputs **/ Pin 1 8 = U /* OUTPUT AND Pin 1 7 = V /« OUTPUT OR */ Pin 1 6 = w /* OUTPUT NAND * / Pin 15 = X /* OUTPUT NOR */ Pin 14 = Y /* OUTPUT XOR »/ Pin 1 3 = Z /* OUTPUT XNOR /** Declarations and Intermediate Variable Definitions **/ /** Logic Equations **/ U = A a B /« A N 0 V = c » 0 /* 0 R */ w = !(E & F) ' /» N A N D */ X = ! {G » H) /* N 0 R Y = I t J /* X 0 R z = ! (K $ L) /* X N 0 R */ Fig. 3 CUPL Design Input File the verity cycle are executed. The verify cycle check programming and margins conservatively such that a minimun data reteintion of 20 years is ensured. 213 Informacije MIDEM 20(1990)4, str. 211-215 Z. Bele: GALs - Generic Array Logic (Part III) Syn 2192 AcO 2 1 9; Pin #19 2048 Pol x 2120 Ac1 -0000 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0032 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0064 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0096 xxxxxxxxxxxxxxxxxxxxxxaxxxxxxaxx 0128 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0192 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0224 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Pin #18 2049 Pol - 2121 Ac1 x 0256 —x---x----------------------------- 0288 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0320 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 035 2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0384 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0416 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0448 xxxxxxxxxxxxxxxxxxxxxaaxxxxxxxxx 0480 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Pin # 1 7 2050 Pol - 2 122 Ac 1 x 0512 x------------------------------- 0544 ----x------------------------------- 05 76 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0608 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0640 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 067 2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 704 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 07 36 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Pin #16 2051 Pol x 2123 Ac1 x 0768 --------x-----x---------------------------------- 0800 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0832 xxxxxxxxxxxxxxxxxxxxaxxxxxxxxxxx 0864 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0928 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0960 xxxxxxxxaxxxxxxxxxxxxxxxxxxxxxxx 0992 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx »124 Ac 1 Pin #15 2052 Pol x 1024 ----------------x--------------- 1056 --------------------x---■-------- 1088 XXXXXXXXXXXXXXXXaaaaxxxxxxxxxxxx I 120 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 1152 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx I 184 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 1216 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 1248 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Pin #14 2053 Pol - 2125 Ac1 x 1280 ----------------------------x----x — 13 12 ------------------------------x- — a--- 1344 xxxxxxx 1 376 x x x x x a 1408 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 1440 xaaxxxxxxxxxxxxxxxxxxxxxxxxxxxxx I 47 2 xaxxxxxxxxxxaxxxxxxxxxxxaxxxxxxx 1504 xxxxxxaaxaaXXXXXXXXXXXXXXXXXXXXX Pin #13 2054 Pol x 2126 Ac 1 x 1 536 ----------------------------x — x- 1568 ----------------------------------x----x 1600 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 1632 xaxxxxxaaxxxxxxxxxxxxxxxaxaaxxxx 1664 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 1696 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 17 28 xxxxxxxxxxxxxxaxxxxxxxxxxxxxxxxx 1760 xXXXXXXXXXXXX^xxxxxaxxxxxaxxxxxx Pin # 1 2 2055 Pol a 2127 Ac 1 - 1824 xxxxaXXXXXXXXXXXXXXXXXXXXXXXXXXX 1 856 1888 xxxxxxxxxxxxxxxxxa; 1920 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 1984 xxxxxxxxxxxxxaxxaxxxxxxxxxxxxxxx 2016 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Fig. 4 CUPL Fuse Plot LEGEND fuse not blown fuse blown CSIM Version 2.11b Serial» 5-00001-154 Copyright (C) 1983,1986 Personal CAD Systems, Inc. CREATED Fri Sep 18 17:15:04 1987 LISTING FOR SIMULATION FILE: GATES.si 1 : 2 : 3 : 4 : 5 : 6 : 7 : 8 : 9 : 10: 1 1 : 1 2 : 1 3 : 1 4 : 1 5 : 1 6 : 1 7 : 18: 20 : 21 : GATES; 00001 ; 01 ; 29/06/87; ALBERTO; SGS-TH0MS0N Microe Iectronics PLZN1 PC AT JEDEC Name Partno Rev i s i on Date Des i gner Company Locati on Assembly Format /* */ /'* BASIC GATES : SIMULATION FILE */ /* */ /* Target Devices: G16V8 */ Order : A , B , %2 , U , Si3 , C , D , %2 , V , %3 , E , F , %2 , W , %3 , G , H , , X , %3 , I , J ,%2 , Y ,%3 , K , L , as2 , Z ; Simulation Results A N AB D CD 0001 : 00 L 00 0002 : 1 0 L 10 0003: 01 L 01 0004 : 1 1 H 1 1 Fig. 5 CUPL Simulation File N X A N X N 0 N O O 0 R EF GH R I J R KL L 00 H 00 H 00 L 00 H H 10 H 10 L 1 0 H I 0 L H 01 H 01 L 01 H 01 L H 1 1 < 1 L 1 1 L 1 1 H 214 Z. Bele: GALs - Generic Array Logic (Part III) Informacije MIDEM 20(1990)4, str. 211-215 CUPL Dev i ce 2.11b Serial» 5-00001-154 g16v8s Library DLIB-f-23-8 *QV4 *G0 *F0 «L0256 11011101111111 *L0512 01111111111111 *L0544 »L0768 * L 10 24 *L1056 *L1280 *L 1 3 1 2 »L1536 *L1568 *L2048 1111111111111111 1 1 1 1 1 1 1 1 1 1 1 i 1 i ; ; ! 1 1 0 ! 1 1 1 ! 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 11111111011101111111111111111111 1 1 1 1 1 1 1 1 1 1 I 1111101111111111111 I 1 1 1 1 1 1 1 1111111111111 ICI 1 1 1 1 1 1 1 1 1 1 1 1 1111111111' 11111111111111111-1 1 1 1 1 1 1 ',', i 1 1 1 1 1 1 1 • 11111111111111111- 1111101111011 1111110110111 •.111111 101101 1111111011110 01l00100000000000000000000000000 *L2112 00000000100000011111111111111 *L2144 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 111111111111111110 111 *L2176 «C2F02 *P 1 2 »V0001 *V0002 »V0003 »V0004 *DFOO 3456789 10 11! OOOOOOOOONOOHLHHLLON 01C101010N10LHLHHL1N 10)010101 NO 1LKLHHLON 111 I 11111M11HLLLHH1N 13 14 15 16 17 18 19 20 ******************************************************* GATES ******************************************************* Created Fri Sep 18 17:07:25 1987 CUPL 2.11b Serial» 5-00001-154 Name GATES Device g16v8s Library DLIB-f-23-8 Partno 00001 Created Fri Sep 18 17:07:24 1987 Rev i s i on 01 Name GATES Date 29/06/87 Partno 00001 Des i gner ALBERTO Rev i s i on 0 1 Company SOS-THOMSON Microelectronics Date 29/06/87 Assemb1 y PC AT Des i gner ALBERTO Locat i on PLZN1 Company SOS-THOMSON Microe 1 ec t rorii cs *QP20 Assembly PC AT »QF2194 Location PL2N1 Expanded Product Terms V => C » 0 X G » H Y => I & ! J » ! I S J K S ! L #1K & L Fig. 6 CUPL JEDEC File with Test Vectors Fig, 7 CUPL Expanded Product Terms Symbol Table Pin Variable Pol Name Pin Type Pterms Max Used Pterms Min Leve 1 Fig. 8 CUPL Symbol Table *********** *********** **** * 2 **#* * **** * 3 **** * **** * 4 * 10 **** 20 * **** * **** 19 * **** * 18 * * **** 17*' A B 1 9 1 V V - - **** * **** * c 2 V - - **** **** D 3 V - - F * 5 1 6 * W E 4 V - - **** **** F 5 v - - * * G 6 v - - **** **** H 7 v - - G * 6 15 * X I 8 v - - **** **** J 9 v - - * * K : : v - - **** **** L 1 2 v - - H * 7 14 * Y U 1 3 v 1 8 1 #*♦# **** V , 1 7 v 2 8 1 * * w I ó v 1 8 1 **** **** X 1 5 v 2 8 1 I * 8 13 * Z Y i 4 v 2 8 1 **** **** Z 13 v 2 8 1 J * **** * 9 * **** 1 2 * L LEGEND F field 0 default /ar i ab 1e M extended node **#* **** N node I intermediate variable T funct i on * * V var i ablk X extended variable 'J undef i ned **** 1 1 * **** *************************** Fig. 9 CUPL Chip Diagram References: SGS-THOMSON: Programmable logic manula-GAL products DATA I/O: Programmable logic Zlatko Bele, dipl. ing. MIKROIKS d. o.o. Titova 36A 61000 Ljubljana Prispelo: 05.05.1990 Sprejeto: 20.11.1990 215 Informacije M1DEM 20(1990)3, Ljubljana PRIKAZI DOGODKOV, DEJAVNOSTI ČLANOV MIDEM IN DRUGIH INSTITUCIJ THE LINE OF STYLUS SURFACE PROFILERS FROM TENCOR INSTRUMENTS GENERAL Today research, development and process engineers in many industries routinely measure a combination of surface parameters on a variety of substrates. These may be : - semiconductor devices or integrated circuit wafers - optical and magnetic disks - hybrid circuits and ceramic substrates - flat panel displays - optical masks of any kind - paper and foil finishes - polished surfaces ( glass, metal, plastics, ..) - coated or painted surfaces - precision machined surfaces of all kinds. Surface parameters are measured to nanometer level precision with stylus profilers which register vertical motion while scanning horizontally over a surface. The study and classification of surface texture have been underway for approximately 50 years. The subject is inherently complicated because of the large range of size and shape of surface artifacts, as well as the variety of surface generation methods. And this is driven by the vast divergence of specific industry applications. Researchers have generated numerous evaluation parameters and at least 24 national standards committees have assigned names and definitions to the measurement parameters. Variations in surface topography can be devided into three general classes based on relative wavelengths of the variations: form error, roughness, and waviness. Form error is a deviation from a perfect realization of a nominally specified shape. If a surface is nominally flat its wave form error may be defined by the deviation from flatness. However, if the deviation from flatness has several lobes, then-the shape cannot be classified as form error. Such error is called waviness. If there are many hundreds of thousands of randomly shaped undulations, this is called roughness. Representative illustrations of these variations are shown in figure 1. Many profilers such as P-1 from Tencor Instruments, can separate data into roughness, waviness and raw data traces as shown' in figure 2. This separation is particularly useful since multiple analysis can be taken from single scan, which saves time and ensures that all analysis data is obtained from a single measurement area. Digital software filtering is employed to separate the roughness data from waviness and form error inputs. Waviness Roughness Data filtering available with the band pass option Waviness 1 Waviness 2 »W^^wflv^UM^yvW*Roughness Data without software filtering Raw Data Data filtering available with the standard software Roughness OR Figure 1: This illustration showes the relative values of form error, waviness, and roughness Figure 2: The effect of filtering on scan data, P-1 profiler 216 Informacije MIDEM 20(1990)4, Ljubljana These class divisions are arbitrary in that the size of the wavelengths involved depends on the size of the sample being considered. No sharp divisions can be drawn between the categories. When wavebands of the categories are naturally well separated, it is easy to separate their outputs using filtering techniques for separate analyses. An example of this would be a form error = 1 to 3 waves, waviness = 25 to 80 waves, and roughness = 500 to 5000 waves. When there is a continuum of wavelengths, there is no way to separate the categories without significant data distortion. In this situation, the user must find a method of data analysis which is effective in controlling surface quality for the specific application. A DEFINITION OF ROUGHNESS Most development in the research of surface analysis regards the measurement and calculation of roughness. The earliest parameter to be developed was roughness average (Ra), which is the aritmetic average of the absolute values of the profile height deviations. These values are taken within the sampling length and are in reference to the graphical centerline. Ra is defined by the following formula per the ANSI/ASME standard, figure 3. Ra = f I y I dx where Ra = arithmetic average deviation from the centerline L = sampling length y = ordinate of the curve of the profile Ra provides a good representation for a scale of roughness which is symmetrical about the centerline and has a Gaussian distribution of peak and valley heights. It contains little information about the nature of the roughness, however, and often fails in application correlation, see figure 4. Note that surfaces A and B produce the same Ra value even though A is obviously more rough and is a very bad bearing surface whilej3 is an excellent one due to wear considerations and ability to retain lubricant. A different roughness parameter, Rq, more accurately shows a 36% reduction for B since it is defined as the Root Mean Square (RMS) average rather than the arithmetic average. RMS (Rq) is defined perthe ANSI/ASME standard as : Rq = VT fdX L Jo Squaring the y values gives emphasis to the large values of y versus the small values so that a distinction is made between surfaces A and B. Many other parameters have been developed in order to improve the correlation between a parameter value and a particular surface performance. Measurement Cursors Figure 3: Definition of roughness average (RMS) Rq = 0.58a Rq = 0.37 A B Figure 4: Ra provides a good representation of for a scale of roughness which is symmetrical about the centerline and it has a Gaussian distribution of peak and valley heights 217 Informacije MIDEM 20(1990)4, Ljubljana t m Radius 1 5 ¡i m O Figure 5: a.: A stylus measures surface characteristics b.: The display after 1000x compression 10k A I 10kA |--1 5 n m Stylus geometry can also affect the roughness data. If the valleys on the surface are smaller in width than the stylus diameter, they will not register on the trace or will be greatly attenuated in height. The smaller the stylus, the greater the indicated value of roughness will be. BASIC FACTORS IN STEP HEIGHT MEASUREMENTS Most surface features measured on a profilometer are much smaller vertically than are horizontally. In plotting such features the vertical axis is exaggerated with respect to the horizontal axis by factors as large as 90000 to 1. This horizontal compression is useful because it allows the entire step to be seen at one time. Figure 6: The importance of stylus shape on dimensioning The important implication of these figures is that small radius styli should be used for substrates with very fine line widths. Optional styli are available with 1.5 to 2.5 |im radii and with sub-micrometer radii. For example, as a typical stylus moves across a surface, it may encounter a physical feature like that shown in figure 5a., which after 1000x horizontal to vertical compression is displayed in different manner, figure 5b. The pictorial representation of an artifact is affected by two factors : the dimensions of the stylus and the finite bandwith of the instrument's circuitry. These factors can affect measurements of very fine line geometries. One effect is an apparent loss of groove width and depth. Another is rounding of profile features. STYLUS SIZE The size and shape of the stylus impose a lower limit to the size of the grooves to be measured. Ideally, the stylus should have a zero dimension horizontally. However, stress considerations require it to have some dimension. It can be modeled as a 60 degree cone rounded to a spherical tip with a 12.5 ¡am radius. In the figure 6. is shown the path the stylus will follow in tracing a grove, where R is the radius of the stylus and L is the loss in groove width. The stylus will follow a curve of radius R to a depth of R/2 and then a 60 degree angle to the bottom of the groove. This reduces the apparent width of the groove being measured. The loss is most noticeable when the radius is large compared to the groove depth. The table and graph in the figure 7. show the relationship between stylus radius and groove-width loss for a given groove depth. SURFACE CHARACTERISTICS Basically, surface profilers provide an analysis of four important surface characteristics, namely : a) AVERAGE PROFILE HEIGHT, average height of the profile section between the cursors relative to a base line established by the intersection of the profiles with the leveling cursors, figure 8. b) TOTAL INDICATED RUNOUT ( TIR ), the difference between the maximum and the minimum profile heights; it is computed for the section of the profile between the measurement cursors, figure 9 c) ROUGHNES, previously discussed d) AREA, the cross-sectional area of the profile above a base line is displayed in square micrometers; the base line extends between the intersections of the measuring cursors and the profile; the line is not necessarily horizontal - the angle depends on the positioning of the cursors and the profile. Three options are available for this parameter: positive, negative and total, figure 10. In addition to these, slope measurements, as well as average difference mode and multiple scan and average are available. 218 _Informacije MIDEM 20(1990)4, Ljubljana Groove Width Loss, "L" (/u.m) for Different Stylus Radii and Groove Depths Stylus _Groove Depth (/u.m) Radius (/u,m) .02 .05 .1 .2 .4 .8 1.6 2.5 5 10 20 25 12.5 1.4 2.2 3.2 4.5 6.3 8.8 12 15 20 26 38 43 5.0 .89 1.4 2.0 2.8 3.9 5.4 7.3 8.7 12 17 29 35 2.0 .56 .89 1.3 1.7 2.4 3.2 4.2 5.2 8.1 14 25 31 1.0 .40 .62 .87 1.2 1.6 2.1 3.0 4.0 6.9 13 24 30 0.4 .25 .39 .53 .69 .92 1.4 2.3 3.4 6.2 12 24 29 0.2 .17 .26 .35 .46 .69. 1.2 2.1 3.1 6.0 12 23 29 0.0 .02 .06 .12 .23 .46 .92 1.9 2.9 5.8 12 23 29 Stylus Radius (/xm) —12.5 Groove Width Loss "L" (/xm) 0 12 3 4 5 10 15 Groove Depth (/Am) Figure 7: The relationship between stylus radius and groove- width loss. Average height of profile between cursors, (line not shown on the screen) Base line automatically established during leveling. TIR - Maximum minus minimum height TIR between cursors. (No base line Is used.) Measurement cursors Measurement cursors Figure 8: Measuring the average profile height SURFACE PROFILERS FROM TENCOR INSTRUMENTS, see also the table TENCOR Instruments designs and manufactures measurement instrumentation for use in the semiconductor, magnetic and optical disks, electronics, and precision machining industries where precision metrology is required. Since first company's profiler, TENCOR Instruments is the leading producer of the state of the art stylus Figure 9: Measuring the total indicated runout ( TIR ) surface profilers in the world. In the table, basic configurations, functions, performance, sampling handling, measurement control, data storage, data analysis and all available options for ALPHA STEP 200, ALPHA STEP 250, ALPHA STEP 300 and P-1 TENCOR surface profilers are displayed and compared. When you decide to by the profiler for your fabrication facility, there are several things to consider. You need 219 Informacije MIDEM 20(1990)4, Ljubljana 0.00 0.00 c) Figure 10: Measuring the area a) positive b) negative c) total to determine what your needs are, first : what kind of accuracy you are looking for and do you want to measure tight spaces or over long scans. In addition, repeatability, data conversion rate and data processing capabilities must be considered, as well. Up till now, there was no such a profiler to satisfy ALL your needs for every application you might have in your facility. After introduction of P-1, the user has finally got THE surface profiler of uncompared flexibility. P -1, LARGE SCAN SURFACE PROFILER The Tencor P-1 is a surface profiling instrument that provides high resolution ( ± 0.1 nm, best ) measurements on substrates with vertical geometries from 10 nm to 280 |j.m, continuous scans up to 210 mm, and data recording capacity of 5000 to 6000 points per scan. The 0.1 nm resolution with computerized linearity assures accuracy over a full dynamic range. The profiler system is highly automated for production use, but engineering flexibility is maintained through the programmability of a built in PC/AT. It is Intended for evaluation of precision surfaces on which large scan capacity is required, e.g. magnetic storage disks, semiconductors, hybrid circuits and flat panel displays, as well as surfaces in precision machining operations. Highly sensitive and repeatable measurements can be taken on glass, ceramic, plastic, paper or metal surfaces. The machine stage holds samples up to 355 mm on a side, 63 mm thick and 2.2 kg in weight. The.stylus can access any part of a sample within an 210 mm dia field and profile it side to side without rotation. Samples larger than 210 mm are measured half way and then rotated to measure the remaining portion. The sample is placed on the stage, and the door is closed for protection against contamination, air turbulence, and phonic noise. A vacuum grip may be switched on to hold the sample in position during the measurement. A motorized, rotary leveling system for automatic sample leveling is available as an option. Pressing the LOAD button automatically centers the stage under the measurement head and lowers the head until the stylus touches the sample. A video microscope image of the stylus and sample appears on the screen with X-Y coordinates overlayed. Next, the operator selects a recipe from the menu screen or chooses new parameters such as units of measure, scan length, scan speed, stylus force, direction, and vertical range. The START button is pressed and measurement begins. The video microscope displays the sample, stylus tip, and preliminary scan data throughout the measurement. The stylus remains stationary as the stage moves along the x axis. After the scan is completed, the stylus retracts and the stage returns to the precise starting point, allowing for repetitive measurements. The profiler is easy to use. Many functions are accessible through color screens organized around pulldown menus and pop- up windows. Scan data can be displayed in any combination of roughness, waviness, or step height readings. Roughness and waviness traces can be displayed separately by applying any of eight filters with "cut off lenghts" from 8 um to 25 mm. P-1 OPTIONS Band pass filter option allows definition of an intermediate wavelength in addition to roughness and waviness profiles. This option provides two user selectable filters, for "longwave" and "shortwave" cutoff values, instead of the single longwave cutoff which is standard on P-1. In a regular data trace, wavelenghts that are smaller than the programmable longwave cutoff value are displayed as roughness, and larger wavelenghts are shown as waviness. The shortwave band-pass cutoff filter acts as an additional noise filter and is used to isolate imtermediate wavelength components. This feature is especially useful in the manufacture of flat glass panel displays. 220 Informacije MIDEM 20(1990)4, Ljubljana Software package for measurement of wafer film stress allows measurement of process induced film stress on semiconductor wafers. The software calculates the average, maximum and center stress measurements. The new software capitalizes on the high precision long scan measurements performed by the Tencor P-1 in the computation of film stress. Calculations are made using an equation for stress which is standard in the industry, and several factors : data from the difference between surface scans taken before and after processing, and user input on substrate type and film thickness. Elastic constant values can be selected from stored constants for 11 common substrate materials such as silicon, GaAs, aluminum or glass. Wafer bow measurement is also displayed. All stress meaurement output is in dyn/cm2. Analysis of the data obtained can indicate changes needed to. eliminate stress factors - thus potentially, increasing yield. Interactive 3-dimensional displays option produces color coded 3-D plots created from a series of two dimensional plots programmed at a fixed Y distance. A unique interactive feature, "zoom rescan", allows the image to be zoomed and a rescan taken automatically for higher resolution display of the selected area. The "zoom recalc" function allows data analysis such as average roughness, waviness parameters, or maximum and minimum heights to be calculated for the selected areas. The image can be rotated for 360 deg. for viewing in all directions. With the new software, a three dimensional view of the surface and a user selected two dimensional plot of a single scan are displayed together on one easy to read screen. Related scan data such as average roughness, step height, and maximum peak to valley statistics are shown on the same screen. The 3-D display is colour coded to show the relative height of the surface and can also be presented as a top-view contour map. Any 2-D plot from within the 3-D area can be selected for detailed analysis using the cursor keys or trackball. The trace will be instantly displayed and cursors can be moved for step height measurements. Data automation software package takes advantage of the 210 mm long scan capability of the instrument. Different measurements can be made at selected seg- ments of the same scan by simply reprogramming the cursor positions without taking a new profile. Software for the optional motorized rotary/leveling stage is also included. The motorized rotary allows the sample position to be programmed in rotation with an accuracy of ± 4 |i.m at 100 mm from the center and a resolution of 0.005 degrees. This adresses a number of applications such as the OD to OD form error of a magnetic disk, and wafer stress measurements over several diameters. Motorized leveling greatly facilitates the task of mechanically leveling the sample within the vertical measurement range of the instrument. Vertical range can be configured to +280/-20 um or -280/+20 um, as well as the basic! 150 um, for greater total usable dynamic range. In this software version, data summary statistics from the measurement sequence such as mean, standard deviation, minimum, maximum and range are automatically computed and presented in a tabular format. This data can be easily converted into ASCI I format for export and analysis by many available spreadsheet or database programs. Profiler configuration for flat panel displays and other large substrates accomodates up to 43 x 43 cm substrates, such as flat panel displays, printed circuit boards, and photomasks. The new open frame configuration includes a removable environmental enclosure that covers the front and sides of the measurement stage. This helps to ensure very precise and sensitive measurements below 10 nm. The cover has a plexiglass front access door to allow the sample to be easily placed on the stage. Measurements are made in 200 mm diameter area which can be overlapped to allow access to practically any portion of 43 cm substrate. Motorized leveling and theta rotation of the stage are optional. Such configuration allows the user to make long scans as well as characterize form, microwaviness, and roughness across the entire surface with angstrom levels of precision. TENCOR line of profilers is distributed in Europe by John P. KummerAG, Zug, Switzerland. In Yugoslavia, contact MIKROIKS d.o.o., Titova 36a, Ljubljana, Mr. Iztok Sorli. 221 THE LINE OF STYLUS PROFILERS FROM TENCOR INSTRUMENTS alpha step 200 alpha step 250 alpha step 300 p-1 long scan profiler specifications programmed functions The Alpha-Step 250 automatically calculates and displays four measurements of surface profiles: Average Height (AVG): Calculation of the average height of all data points between the measurement cursors, referenced from the leveled baseline. Total Indicated Runout (TIR): Calculation of the height between the highest and lowest point within the cursors. Average Roughness (Ra): Calculation of center-line average roughness according to American National Standards Institute Specifications (ANSI B46.1 -1978). Cross-Sectonal Area (Area): Calculation of the area between the surface profile and the base reference line measured in square micrometers. Three additional data analysis features are available on command from the keyboard. Repeat and Average: Reduces relative measurementerror by automatically scanning the same location multiple times. The height measurements are averaged together and their average value is displayed on the screen. Slope: Useful for comparative measurements, the angle of the plotted surface profile is measured in degrees. Delta Average: Provides precise height measurements of rough or uneven substrates and films. This software quantifies the difference between the average height of one region and the average heigth of another. The two regions can be of differing lengths. Vertical Measurement Ranges Autorahging uo to: ±3,2 (im or ±16^m Horizontal Measurement Ranges and Sampling Samples/(im Max.Scan Scan Time Scan Length 8-sec 40-sec Direction 2.000 fim 0.2 1.0 left or right 400 nm 1.0 5.0 left or right 80 |im 5.0 25.0 left or right Any of the three set-up li nes above are user-selectable. Any scan lenght can be programmed up to the maximum value shown, within a given range. Scan direciton is also user-selectable. programmed functions — Automatic display of Average Height, Total Indicated Runout(TIR)orPak-to-Valley,AverageRoughness(Ra) and Cross- Sectional Area (positive and/or negative areas). — Delta Average with 4 cursors and 2 regions of differing lengths, — Repeat and Average configurations The Alpha-Step 300 is available in three configurations: Standard, Motorized, and Automatic. The features thatdiffer for each configuration are identified below. functions Displays height as: — Height between two points (Step Height) — Average height of two regions of equal or differing length (Delta Average) — TIR (Total Indicator Run-out) Also displays roughness and waviness as defined in ANSI/ASME B46.1-1985. Roughness and waviness displays can be separated by one of thirteen user-selectable filters with the following cut- offs: mm, .0045, .008, .014, .025, .045 .08, .14, .25, .45, .8, 1.4, 2.5, 4.5 User selectable parameters listed below. Values are referenced to two movable cursors, or two movable fields of different lengths. Roughness Vertical Measurement Ranges Autoranging up to: ±160 kA or ±160 (im Horizontal Measurement Ranges and Sampling Samples/jim Max.Scan Scan Time Scan Length 8-sec 40-sec Direction 10 mm - 0.2 right only 2 mm 0.2 1.0 left or right 400 nm 1.0 5.0 left or right 80 |im 5.0 25.0 left or right Any of the four set-up lines above is user-selectable. Any scan length can be programmed up to the maximum value shown within agiven range. The 10 mm scan length dictates the micrometer vertical range. Ra Roughness Arithmetic Average Max Ra Maximum of 19 overlapping sections Rq Root-Mean-Square (RMS) Roughness RP Maximum Height - Rv Maximum Depth R. Maximum Peak-to-Valley Rz Ten-Point Height Rsz Six-Point Height Waviness W0 Waviness Arithmetic Average w, Root-Mean-Square Waviness Wp Maximum Height Wv Maximum Depth Wt Maximum Peak-to-Valley functions Displays roughness, waviness and step height as defined in ANSI/ASME B46.1-1985. Rughness and waviness displays can be separated by one of sixteen user-selectable filters with the following cut-offs: mm .0045 .45 .008 .8 .014 1.4 .025 2.5 .045 4.5 .08 8.0 .14 14.0 .025 25.0 User selectable parameters listed below. Values are referenced to two movable cursors, or two movable fields of different lengths. Roughness Ra Roughness Arithmetic Average Max Ra Maximum of 19 overlapping sections Rq Root-Mean-Square (RMS) ROughness Rp Maximum Height Rv Maximum Depth Rt Maximum Peak-to-Valley Rz Ten-Point Height Rsz Six-Point Height Waviness Wa Waviness Arithmetic Average Wq Root-Mean-Square Waviness Wp Maximum Height Wv Maximum Depth w, Maximum Peak-to-Valley Topography TIR (Total Indicator Run-out) Height between two points (Step Height) Average height of two regions of equal or differing length (Delta Average Mode) Step Height Repeatability Step Height1 Repeatability2 180 A +-6 A 440 A or 880 A +- 6 A 4500 A or 9400 A +- 8 A 1 Using VLSI Standards' Step Height Calibration Standard 2 1 Sigma for 10 succesive averages of 9 measurements executed automatically in the Multiple Scan and Average mode. Guarantee: If the Tencor Instruments' remote keyboard and isolation hood are used; and the instrument is operated under adequate protection against noise from the environment including shock, vibration, sudden temperature changes, and air turbulance; and the cursors are placed as close together as the step geometry will allow; then Tencor Instruments will guarantee the above repeatability. Scan Menu Parameters (Nine Menus) Scan Length Sampling Rate Scan Direction Stage Position (Programmable X-Y Stage only) Print Selection (Summary only, entire screen or RS232C output port) Resolution Vertical: 1 A with ±3.2 (im range 5 A with ±16 urn range Horizontal: 400 A max Digital Conversion Rate 50 samples/second. Stylus Radius Standard: 12.5 (im Optional: 5 nm, 1 fim to 3 nm, and submicron Stylus Tracking Force Adjustable between 0.8 to 9.9 mg in 0.1 mg increments, and to 25 mg in 1 mg increments. Continuously monitored and displayed on CRT. Forces above 25 mg may also be set. Scan Method Stylus moves, stage remains stationary. Sample Leveling Automatically computed. Manual coarse-leveling adjustment. Number of Data Points Up to 2000 per profile Scan Menu Parameters (Nine Menus) Scan Length Sampling Rate Scan Direction Resolution Vertical: 5 A with ±160 kA range 5 nm with ±160 jim range Horizontal 400 A Digital Conversion Rate 50 samples/second. Stylus Radius Standard: 12.5 (im Optional: 25 p.m, 5.0 nm to 1.5 ¡im, 2.5 nm and submicron Stylus Tracking Force Adjustable between 1 and 25 mg Continuously monitored and displayed on CRT Scan Method Stylus moves, stage remains stationary. Sample Leveling Automatically computed. Manual coarse-leveling adjustment. profiling performance profiling performance Scan Method: Moving stage, stationary stylus Scan Length: ±10 mm Scan Length: 210 mm Scan Speed: 2 to 250 nm/sec Scan Speed: 1 nm/sec to 25 mm/sec Sampling Rate: 50/sec nominal Sampling Rate: 50/sec nominal Vertical Range: At 1 À resolution: ±6.5 |im At 25 Â resolution ±150 um or - 20/+280 fim, or - 280/+20 fim Vertical Range: At 1 A Resolution: ±6.5 jim At 25 A Resolution: ±150 nm or - 20/+280 urn, or - 280/+20 nm Vertical Linearity: 0,5%, entire range Vertical Linearity: 0,5%, entire range Horizontal Resoluton: Stylus Control: Up to 5900 data points per scan, depending on scan speed and length. Programmable Force Range: 1.0-100 mg Resolution: 0.1 mg Horizontal Resoluton: Stylus Control: 200 À at 1 tim/sec scan speed Up to 5900 data points per scan dependin on scan speed and length. Programmable Force Full Retract Between Scans Range: 1.0-100 mg Programmable Descent Rate Resolution 0.1 mg Full Retract Between Scans Programmable Descent Rate standard manual x-y stage Maximum Sample Dimensions Thickness: With thêta table: 17 mm Without thêta table: 21 mm Diameter: Measures to center of 162 mm sample Sample Stage Movement X-Axis 100 mm Y-Axis 81 mm Z-Axis 21 mm 360 degree theta rotation Sample Location Precision manual knobs for X-Axis and Y-Axis stage movement, servocontrol up/down buttons for Z-Axis stage movement optional programmable x-y stage Operating Modes Manual Semi-Automatic Automatic standard x-y stage Maximum Sample Dimensions Thickness: With theta table: 17 mm Without theta table: 21mm Diameter: Measures to center of 162 mm sample Sample Stage Movement X-Axis 100 mm Y-Axis 81 mm Z-Axis 21 mm 360 degree theta rotation Sample Location Precision manual knobs forX-Axis and Y-Axis stage movement, servocontrol up/down buttons for Z-Axis stage movement optional programmable x-y stage Operating Modes Manual Semi-Automatic Automatic Maximum Number of Programmed Locations 9 + "home" (0) 100 per test sequence with the Alpha-Link™ IBM PC interface option. Locator Block Positions 3", 100 mm, 125 mm, 150 mm Maximum Sample Dimensions Thickness: With theta table: 10 mm Without theta table: 17 mm Diameter: Measures to center of 162 mm (6.4") sample Stage Movement Range X-Axis 151 mm Y-Axis 81 mm Z-Axis 17 mm 180 degree theta rotation with +- 3 degree fine adjustment Stage Movement Rate Fast Rate: 10 mm/sec Slow Rate: 0.10 mm/sec Min. increment: 1.3 [im Positional Repeatability 1 sigma for up to 20 consecutive positions: ±10 mm each axis. Vacuum Requirements Vacuum area: 40 mm diameter. 100 torr minimum. Maximum Number of Programmed Locations 9 + "home" (0) + 999 with the IBM PC option Locator Block Positions 3", 100 mm, 125 mm, 150 mm Maximum Sample Dimensions Thickness: With theta table: 10 mm Without theta table: 17 mm Diameter: Measures to center of 162 mm sample Stage Movement Range X-Axis 151 mm Y-Axis 81 mm Z-Axis 17 mm 180 degree theta rotation with +- 3 degree fine adjustment Stage Movement Rate Fast Rate: 10 mm/sec Slow Rate: 0.10 mm/sec Minimum Increment: (using motorized control) 1.3 jim Positional Repeatability 3 sigma (99,7 %), up to 20 consecutive positions: ±30 microns each axis. Vacuum Requirements Vacuum area: 40 mm diameter. 100 torr minimum. SAMPLE HANDLING SAMPLE HANDLING Alpha Step 300 Alpha Step 300, Motorized Open Frame Confiauration Maximum Sample Size: 254 x 254 mm 430 x 430 mm with removable Isolation hood 355 x 355 mm with side panel removed 480 x 480 mm without removable isolation hood X, Y Maximum Travel: 210 mm 210 mm Stylus Access: 210x210mm without repositioninq 210x210 mm without repositioninq Table Top: 210 mm diameter 250 x250 mm Maximum Sample Weiqht: 2.2 kq 2.2 kq Throat Depth: 228 mm 228 mm Throat Height: 63.5 mm 63.5 mm Standard Configuration Manual X-Y Stage with Standard Manual Rotary (No detent). Motorized or Automatic Configurations Motorized X-Y Stage, with Manual Rotary or optional Motorized Leveling and Rotary. Manual control via trackball or keyboard X, Y positioning speed: Variable, up to 25 mm/sec Stylus and Sample Programmed Position Repeatability 1 ct: ± 2 (im Manual Rotary can be set with 6 detents (4 at 90°, 2 at ± 45°)* 'Note: Different from the Manual Rotary of the Standard Configuration (no detent). Number of programmable positions Motorized Configuration: 2 Automatic Configuration: 200 Motorized Level and Rotation Option - Automatic mechanical leveling of the sample - Programmable 360° sample rotation - Repeatability at 4 in. from center of ± 4 ¡im Leveling — Electronic data leveling is standard — Automatic mechanical leveling is available with the Motorized Level and Rotation option (Motorized or Automatic Configurations). Sample Vacuum Hold-down — Standard with all rotary stages Motorized X-Y, with 2 programmable locations is standard. Automation/Data Option for unlimited X-Y programmability. Manual control via trackball or keyboard Maximum Sample Size: 254 x 254 mm 355 x 355 mm with side panel removed Stylus Access: 210 mm diameter circular area without repositioning Note: Larger samples can be accommodated with the Tencor P1 Open Frame configuration. Se separate data sheet for details Maximum Sample Weight: 2.2 kg Throat Depth: 228 mm Throat Height: 63.5 mm, X,Y Maximum Travel: 210 mm X,Y Positioning Speed: Variable, up to 25 mm/sec Stylus and Sample Programmed Position Repeatability, 1o:±2(i.m Rotary Stage — High Precision Manual Version is standard. Can be set with 6 detents (4 at 90°, 2 at ± 45°) — Motorized option has programmed repeatability at 4 in, from center of ± 4 y.m — Same throat height in any configuration Leveling — Electronic data leveling is standard — Semi-automatic mechanical leveling with the Motorized level and Rotation option Sample Vacuum Hold-down — Standard with all rotary stages Standard Precision Locator — Choice of one Custom Fixturing Interface — Via 6 mounting holes Custom Fixturing Interface — Via 6 mounting holes MEASUREMENT CONTROL Manual/Single Scan Mode Parameters of a given type of scan are programmed within a "recipe". Keylock with 3 Modes Position 1: Run one recipe or sequence without modification Position 2:. Full selection of recipes or sequence without modification Position 3: Unlocked. All functions available. Repeat and Average Mode Any scan can be repeated up to 10 times and the computed average displayed. Sequence Mode (Automatic configuration only) Up to 200 different combinations of recipes and artifact locations can be combined into a "sequence". The complete sequence will run with or without operator intervention. Precise artifact positioning can be achieved by means of "deskewing". DATA STORAGE 20 Mbyte Hard Disk stores up to 4000 scans of 1000 points. Storage includes the scan recipe and or sequence plus time and date. The hard disk also stores the recipe and sequence catalogs. 1.4 Mbyte, 3.5 inch Diskette provides protability for selected data. Each diskette will hold 300 scans of 1000 points, it can also be used for back-up storage or transfer of recipe and sequence catalogs. DATA ANALYSIS Data Screen Single Scan Interactive Graph and Data Table: Shows two cursor read-out. Each cursor is movable, Independently or in tandem, by trackball or direction keys. Each cursor can be expanded into a field, independently or in tandem, for Delta Average Mode of measurement and leveling. Zoom box data expansion: The portion of the graph contained in a user-defined "zoom box" of variable aspect ratio« can be instantly replotted. Immediate data retrieval and display by pointing to an item of the data catalog. Sequence statistics and Data Base Manager Option (Automatic configuration only) For each recipe in a given sequence: data table with statistics of mean, standard deviation, minimum, maximum, and range, for up to 20 surface analysis parameters selected. Recall of purge data saved on disk by the following criteria: up to 7 user-labeled identifiers, in addition to recipe and sequence identifiers, dates. Scan data can be formatted for PC-AT compatible programs such as spreadsheets. MEASUREMENTCONTROL Manual/Single Scan Mode Parameters of a given type of scan are programmed within a "recipe". The type can be either continuous or segmented into sections of equal lengths. Keylock with 3 Modes Position 1: Run one recipe or sequence without modification Position 2: Full selection of recipes or sequence without modification Position 3: Unlocked. All functions available Repeat and Average Mode Any scan can be repeated up to 10 times and the computed average displayed. Automatic Sequence Mode With this option, up to 200 different combinations of recipes and artifact locations can be combined into a "sequence". The complete sequence will run with or without operator intervention. Precise artifact positioning can be achieved by means of "deskewing". DATA STORAGE 20 Mbyte Hard Disk stores up to 4000 scans of 1000 points. Storage includes the scan recipe and or sequence plus time and date. The hard diskalso stores the recipe and sequence catalogs. 1.4 Mbyte, 3.5 inch Diskette provides protability for selected data. Each diskette will hold 300 scans of 1000 points. It can also be used for back-up storage or transfer of recipe and sequence catalogs. DATA ANALYSIS Data Screen Single Scan Interactive Graph and Data Table: Shows two cursor read-out. Each cursor is movable, independently or in tandem, by trackball or direction keys. Each cursor can beexpanded into afield, independently or In tandem, for Delta Average Mode of measurement and leveling. Zoom box data expansion: The portion of the graph contained in a user-defined "zoom box" of variable aspect ratio can be instantly replotted. Immediate data retrieval and display by pointing to an item of the data catalog. Automation/Data Option For each recipe in a given sequence: data table with statistics of mean, standard deviation, minimum, maximum, and range, for up to 20 surface analysis parameters selected. Recall of purge data saved on disk by the following criteria: up to 7 user-labeled Identifiers, in addition to recipe and sequence identifiers, dates. Scan data can be formatted for PC-AT compatible programs such as spreadsheets. Video Standard: Optional: Output Standard: Optional: Dimensions Width: Height: Depth: Weight 26 kg Electrical 90-130 V.50/60 Hz 180-260 V, 50/60 Hz Power Requirements: 100 VA High-contrast 9" CRT; with 40 x to 120 x video microscope. Quartz-halogen illumination lamp (When ordering new unit) 12x to 36 x low power magnification 90x to 270 x high power magnification Remote video microscope connector RS 232C Serial Data Output Remote printer (replaces built-in printer) 330 mm 422 mm 684 mm Screen Output A High-contrast 9" CRT Monitor display either the sample image or the data. A high resolution sample image is provided by a600-line vidicon camera with automatic focus. Standard: 40 x to 120 x video microscope magnification Optional: 12x to 36 x low power magnification 90x to 270 x high power magnification Factory installex, noninterchangeable Printer Standard built-in thermal screen-image printer, 18 seconds per page. Remote printer can be connected. RS232C Serial Output Selectable baud rate (50 to 9600 baud) Alpha-Link PC Interface — Upload/store measurement data — Upload/store/download menus — Create a sequence of up to 100 menus/locations to run in automatic or semiautomatic mode when used with a programmablle X- Y stage (see Alpha Link Data Sheet for details) Dimensions Width: 33 cm Height: 43 cm Depth: 69 cm Weight 26 kg Electrical 90-130 V.50/60 Hz 180-260 V, 50/60 Hz Power Requirements: 100 VA additional features Processor 80386-20 MHz Controller, PC/AT compatible, runs MS-DOS Operating System, version 3.3 Screen Displays a magnified optical image of the sample or various forms of computer outputdata. Initial data trace or cross-hari identification of stylus location relative to stage table can be superimposed on sample image. Color data display, user-selectable colors. 33 cm diagonal. High resolution: 640 x 350 pixels Variable image magnification: 100-400x is standard 40-160x is optional Motorized zoom with keyboard control is standard Filtered illumination of sample (Yellow-Red wavelength only). Console Color-coded user keypad with four screen-dependent function keys is standard. With the Motorized and Automatic configurations, a trackball and keyboard can be used interchangeably for either manual positioning of the stage or for data analysis. The Automation/Sequence option includes a removable computer keyboard which can be mounted with bracekts in front of the instrument. This adds alphabetical entries and allows the use of PC/AT compatible programs, or custom programming by the user. Real Time Clock Battery backed. Provides date and time of day. physical characteristics Dimensions Width: 57 cm Height: 75 cm Depth: 77 cm Weight Instrument: 113 kg Shipping weight: 197 kg Electrical 90-110 V, 50/60 Hz 110-130 V, 50/60 Hz 180-260 V, 50/60 Hz Power Requirements: 150 VA additional features Processor 80386-20 MHz Controller, PC/AT compatible, runs MS-DOS Operating System, version 3.3 Screen Displays a magnified optical image of the sample or various forms of computer output data. Initial data trace or crosshair identification of stylus location relative to stage table can be superimposed on sample image. Color data display, user-selectable colors. 33 cm diagonal. High resolution: 640 x 350 pixels Variable image magnification: 100-400* is standard 40-160x is optional Motorized zoom with keyboard control is standard Filtered illumination of sample (Yellow-Red wavelength only). Console Trackball and keyboard can be used interchangeably for either manual positioning of the stage or for data analysis. There are four screen-dependent function keys. The Automation/Sequence option includes a removable computer keyboard which can be mounted with bracekts in front of the instrument. This adds alphabetical entries and allows the use of PC/AT compatible programs, or custom programming by the user. Real Time Clock Battery backed. Provides date and time of day. physical characteristics Dimensions Width: 57 cm Height: 75 cm Depth: 77 cm Weight Instrument: 118 kg Shipping weight: 197 kg Electrical 90-130 V, 50/60 Hz 180-260 V, 50/60 Hz 180-260 V, 50/60 Hz Power Requirements: 150 VA software options Automation/Data (included in Automatic configuration) Includes — Sequence software — Data Base Manager software — Removable computer keyboard with mounting brackets Sequence mode will test up to 200 positions on a substrate with an unlimited choice of recipes, or will analyze a single scan with up to 200 different cursor positions. Fully automaticor manually controlled operation. Sequence statistics described in Data Analysis section above. Database Manager will store traces and/or summary dataunder up to seven identifiers to facilitate future analysis by attributes. Functions as part of a corporate database or as an independend data center. Export will format data for use with PC/AT compatible programs which accept ASCII data entry. SECS II Interface complies with GEM/SEMIStandards. Recipesand sequences can be bi-directionaly transferred between the Alpha-Step and host computer to facilitate storage and off-line manipulation of data. User written software programs can be used for detailed data analysis. SECS parameters such as a baud rate and time-out can be configured from within the program. software options Automation/Data Includes — Sequence software — Data Base Manager software — Removable computer keyboard with mounting brackets Sequence mode will test up to 200 positions on a substrate with an unlimited choice of recipes, or will analyze a single scan with up to 200 different cursor positions. Fully automaticor manually controlled operation. Sequence statistics described in Data Analysis section above. Database Manager will store traces and/or summary data under up to seven identifiers to facilitate future analysis by attributes. Functions as part of a corporate database or as an independend data center. Export will format data for use with PC/AT compatible programs which accept ASCII data entry. Stress Measurement Computes three values of stress (Average, Maximum and Center) in dynes/cm2 based on the difference of two profiles (pre- and post- stress), or a single profile (post-stress only) with better than 1% accuracy. Requires user selection of one of 10 substrate materials including Silicon, Gallium Arsenide, Germanium, Aluminium and 3 types of glass, and user entry of sample and film thickness. Includes one wafer precision locator optimized for stress measurements, 3 to 8 inch wafer sizes available. HARDWARE OPTIONS Low Magnification Optics Replaces 100-400x optics with 40-160X. Initial factory installation only Printer, Black and White* 9-pin dot matrix 80 coloumns, graphics 8,5 x 11 inch paper Printer, Color*+ Inkjet 7 colors 'Note: Only these two printers are available and supported in the USA (120 Volts). The warranty of the manufacturer applies. + Note: Ink jet printer not recomended for cleanroom use. Precision Sample Locators Wafer with flat: 2", 3", 100,125,150 mm Wafer with notch: 82 mm, 200 mm Disk: 2.5,3.5,5.25, 8,9, 12 inch Note: Disk Locator is compatible with Tencor P-1 Long Scan Profiler. HARDWARE OPTIONS Motorized Level and Rotation — Automatic mechanical leveling of the sample — Programmable 360° sample rotation Low Magnification Optics Replaces 100-400X optics with 40-160X. Initial factory installation only Printer, Black and White* 9-pin dot matrix 80 coloumns, graphics 8,5 x 11 inch paper Printer, Color* Inkjet 7 colors 'Note: Only these two printers are available and supported in the USA (120 VOIts). The warranty of the manufacturer applies. Standard Precision Sample Locators One of the following is included i nthe basic configuration Disk: 3.5 or 5.25 inch Wafer with flat: 3, 4, 5 or 6 inch Wafer with notch: 8 inch Additional Optional Locators Disk: 2.5,8,9, 12 inch Wafer with Notch: 82 mm, 5 inch Wafer Stress with Flat: 3, 4,5, 6 inch Wafer Stress with Notch: 8 inch Informacije MIDEM 20(1990)4, Ljubljana KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA SD 90 Letošnji 16. simpozij o elektronskih sestavnih delih in materialih SD 90 je v organizaciji MIDEM potekal v času od 19. do 21.9.1990 vzdravilišču Radenci in v udobnem okolju hotela A kategorije Radin. Pokrovitelj simpozija je bila Tovarna elektronike, elektromehanike, anten in kablov Elrad iz Gornje Radgone, ki se je vsestransko izkazala ter poskrbela za prijetno počutje udeležencev. Glavna tema in poudarek simpozija je bil na materialih, kar je naletelo na močan odziv udeležencev (okoli 100). Programsko- organizacijski odbor pod zelo aktivnim vodstvom Darje Uvodič je izbral privlačen program šestih povabljenih referatov: V. Pantovlč iz IRI El Zemun s temo Materijali i tehnologije elektronskih kola, H. Štast-na iz Research Institute of Mathematical Machines z naslovom Some results of failure analysis of electronic components and printed circuits, prof. D. Kolar z Univerze v Ljubljani o smereh razvoja sodobne keramike za elektroniko in I. Vivoda iz Rade Končarja Zagreb o uporabi galvanotehnike v proizvodnji delov za elektronske naprave. Dva zanimiva vabljena referata sta sicer natisnjena v zborniku simpozija, vendar sta zaradi odsotnosti predavateljev odpadla: I. Tabakovič z Univerze v Banja Luki z naslovom Organski poluprovodnici in N. Blagojevlč iz Beograda s temo Staklo u optoelektronici. Poleg tega je bilo prikazanih še 50 raziskovalnih dosežkov na posterjih, ki so tematskoobravnavali naslednje skupine: - polprevodniški materiali, elementi in tehnologije - debeloplastni materiali, elementi in tehnologije - tanke plasti, materiali in tehnologije - keramični materiali, elementi in tehnologije - optoelektronski elementi - elektromehanski elementi ter ostalo. K prikazu posterjev so po številu največ prispevali avtorji z Inštituta Jožef Stefan iz Ljubljane, Istraživačko-raz-vojnog centra El iz Zemuna in z Inštituta za elektroniko in vakuumsko tehniko iz Ljubljane, zapažena pa je bila tudi udeležba štirih avtorjev iz ČSFR. Sicer so sodelo- vale elektronske in strojne fakultete iz Ljubljane, Niša in Novega Sada, še nekateri inštituti ter industrija (Iskra in El Niš). Razprave in izmenjave izkušenj ob posterjih so bile živahne in zelo koristne. V okviru simpozija so udeleženci zadnji dan obravnavali študijsko temo z naslovom "Logistika razvojnih projektov", ki sicer ni imela raziskovalne, pač pa organiza-cijsko-ekonomsko vsebino z namenom, da bi prisotni spoznali probleme in domače izkušnje pri izvajanju razvojnih projektov za nove izdelke (s področja elektronike in elektrotehnike). Narrresto avtorja R. Faleskinija je v prvem referatu R. Ročak podal temo Poslovodna obravnava RR projektov. V svojem predavanju pa je K. Sapač zelo zanimivo prikazal metodologijo selekcije razvojnih projektov v podjetju Elrad. Bilo je precej razprave o uspešnosti sistema pa tudi o stimuliranju kadrov. Organizator MIDEM je izkoristil priložnost, ko je bilo zbrano precej članov društva, da je sklical redni občni zbor, kjer so med drugim podelili tudi priznanja za aktivno delo in sodelovanje z društvom podjetju Iskra-Center za elektrooptlko iz Ljubljane ter Elektronski fakulteti Niš, razen tega pa še posameznim članom: Alenki Rožaj-Brvar, Mariji Kosec, Rudiju Babiču In. Iztoku Šorllju. - Za tem ko je predsednik Rudi Ročak podal poročilo o delu društva v preteklem obdobju, so člani v zelo živahni razpravi (zapaženi prispevki Lj. Pešiča, M. Goja, Jova-noviča, R. Ročaka itd.) spregovorili o problematiki stroke, na primer o kriznem stanju v industriji in posebej v mikroelektroniki ter predlagali konkretne akcije v bodočem obdobju dela društva. Predsednik je tudi poročal o stanju priprav za MIEL 91 v Beogradu pod pokroviteljstvom El Niš ter o začetih razgovorih za organizacijo naslednjega SD 91 (z možno lokacijo Ribno pri Bledu) pod možnim pokroviteljstvom Iskre Ferlti. Občni zbor je izzvenel v prepričanju vseh prisotnih, da je pomemben čim boljši kontakt in sodelovanje med člani društva iz vseh republik Jugoslavije, k čemur prispevajo med drugim tudi glasilo Informacije MIDEM ter konference kot so MIEL in SD. Milan Slokan MIDEM Titova 50, Ljubljana POROČILO O SODELOVANJU NA ISHM-ITALY WORKSHOP V dneh od 13-14 septembra 1990 sem se udeležila ISHM-ITALY WORKSHOP na temo: Površinska montaža v primerjavi z debeloplastno tehnologijo (razlogi za izbiro tehnologije). Prisostvovalo je okrog 100 udeležencev iz različnih dežel zahodne Evrope, največ pa članov ISHM-ltalia. V dveh dneh je bilo 15 referatov na tematiko primerjave tehnologije površinske montaže in debeloplastne tehnologije. Predavatelji so bili iz vodilnih italijanskih firm, ki imajo proizvodnjo v obeh tehnologijah: Marconi, Italtel, Marelli Autronica, Alcatel Sud Lati-na, Hybritec in Aurel, in znanih evrpskih firm: Saab-Sca-nia (Švedska), Valtronic (Švica), Mitel (Anglija), DuPont (Švica), Hoechst (Zah.Nemčija), Nokia (Finska). 228 Informacije MIDEM 20(1990)4, Ljubljana V prvem referatu z naslovom:" Izziv za hibridno tehnologijo in kako ga obvladati", je predavatelj Norlyng iz Danske predstavil elektronska vezja za različne naprave, ki jih proizvajajo v tehnologiji površinske montaže (SMT- surface mounted technology), hibridni izvedbi in mešanimi tehnologijami (SMT, debeloplastna in tanko-plastna). Vezja se uporabljajo v medicini in v merilnih napravah. V nekaterih merilnih napravah so uporabili hibridna vezja z golimi tabletkami, zaščitenimi z epoksid-no kapljo, chip kondenzatorje in SMD aktivne komponente. Vezja izdelana v tankoplastni tehnologiji so uporabili v napravah, kjer je potrebna velika stabilnost vezja. Pokazali so nekaj primerov uporabe vezij v de-beloplastni tehnologiji z uporabo polimernih uporov tiskanih na plastični substrat in z nekaj golimi tabletkami, bondiranih na vezje in potem zaščitenih z epoksidom (COB- chip on board). V tehnologiji SMT so prikazali izvedbo večplastne tiskane dvostranske plošče, na kateri je razen SMT montaže komponent uporabljeno tudi pritrjevanje klasičnih elektronskih komponent. Na koncu so za uporabo v profesionalni prenosni merilni napravi prikazali vezje, izbrano s tehnološkega in ekonomskega stališča: tankoplastni upori na debeloplastnem dvostranskem vezju, ki so ga montirali na tiskano ploščo s polimernimi prevodniki in upori. V naslednjem referatu predavatelja iz Marconi, Italia: "Debeloplastna tehnologija in SMT", so analizirane možnosti obeh tehnologij pri izdelavi vezja za telekomunikacije in na področju aeronavtike. Če so komponente tipa ASIC v plastičnem ohišju in je temperaturno področje delovanja vezij od -20°C do 70°C, se lahko vezje izdela na plastičnem substratu v več plasteh. (Zahteve za termično disipacijo ne smejo biti velike). V primeru,da so na vezju integrirane komponente v keramičnem nosilcu tabletk, je vezje potrebno izdelati na keramičnem substratu in v debeloplastni tehnologiji. Močnostno vezje ni ustrezno za izdelavo na plastičnem substratu (FR4), zaradi slabega odvajanja toplote plastike. V tem primeru se lahko uporabi večplastno tiskano vezje z dva kovinska sloja za napajanje in maso vezja. Slaba stran pri tej izdelavi vezja je raztezanje ploščice v smeri z, ki lahko povzroči lom metalizacije lukenj in odstopanja notranjih slojev vezja. Preizkusi so pokazali, da je najbolj kritičen postopek spajkanja vezja zaradi deformacije različnih materialov. Čeprav obstajajo materiali z manjšimi raztezki, ni potrebno uporabljati teh dragih materialov, če se optimizira postopek spajkanja vezja. Drugi možen način izdelave močnostnega vezja je debeloplastna tehnologija na keramičnem substratu. Problem različnega raztezka med substratom in keramičnim nosilcem tabletk je manjši kot na plastičnem substratu. Problem toplotne prevodnosti je manjši, če je vezje izdelano na plastičnem substratu z dvema kovinskima plastema. V referatu H. Danielssona (Saab, Scandinavia):" Primerjava med povezavo SMD komponent in povezave silicijevih tabletk z žičko", je avtor na osnovi izračuna verjetnosti odpovedi ocenil zanesljivost povezave SMD komponent in povezave silicijevih tabletk z žičko. Primerjal je ASIC integrirano vezje, pritrjeno na substrat z obema načinoma povezave. Verjetnost odpovedi vezja z ASIC komponentami, pritrjenimi v SMD tehnologiji, je zelo odvisna od skupnega števila povezav in verjetnosti odpovedi posamezne povezave. Verjetnost odpovedi vezja na katerem so ASIC komponente pritrjene v SMD tehnologiji ali z bondiranjem direktno na prevodnik, je veliko manjša v drugem primeru (za isto število vhodnih in izhodnih povezav integriranih komponent). Avtor meni, da je rešitev povezave ASIC komponent na tiskana vezja v bondiranju z Al žičko, ali s TAB (tape automatic bonding) postopkom. Tabletke je najbolje zaščititi s silikonsko zaščito. Eksperimentalni rezultati odpovedi vezja v firmi Nokia (Finska) kažejo število odpovedi 200-900 Fitov za vezja v keramičnem ohišju in 190 Fitov za vezja s tabletkami, zaščitenimi s silikonsko kapljo. Primerjava cene investiranja v postopek pritrjevanja s SMD tehnologijo in bondiranje tabletk kaže manjše stroške v primeru povezave tabletke z žičko. Naslednji referat predavatelja L.Texiera iz firme Val-tronic SA, Švica z naslovom:" PCB vs PCB", primerja dve izdelavi vezja; tiskana vezja in tiskana vezja na keramičnem substratu. Na primeru izdelave vezja, ki se uporablja v TV napravah za firmo Kodak so ugotovili, da je postopek izdelave ploščice na keramičnem substratu, na katerem so natiskali upore, najbolj ustrezen glede na hitrost proizvodnega postopka, kvaliteto vezja in ceno. Vezje vsebuje razen miniaturnih aktivnih komponent še 7 silicijevih tabletk, zaščitenih s polimerno zaščito. Referat, ki ga je predstavil R.Garbella iz Italtela z naslovom : "Skupaj, ali nasprotniki v bodočnosti" primerja obe tehnologiji za izdelavo vezij v telekomunikacijskih napravah. Poudarjen je problem odločitve za eno od obeh tehnologij v Italtelu. Prikazana je porazdelitev proizvodnje hibridnih vezij v Italtelu po posameznih področjih, največ 56% v komutacijah, 22% prenos podatkov, ostalo 20% prosto tržišče (od tega 61 % telekomunikacije, 34% računalništvo, 31% avtomobilska industrija in 1% vo-jaško-letalska industrija). Analiza stroškov v tehnologiji SMD in debeloplastni tehnologiji kaže, da je prispevek cene komponent večji v SMD tehnologiji, ostalih materialov enak in laboratorijski stroški večji pri izdelavi vezij v debeloplastni tehnologiji. Skupni stroški za enako funkcijo vezja so nekoliko večji v debeloplastni tehnologiji. Z lastnimi izkušnjami so prišli do nekaterih temeljnih parametrov pri izbiri debeloplastne tehnologije: a) če so zahteve pri izdelavi vezja; - uporovno razmerje s majhno toleranco - funkcionalno justiranje vezij - večje disipacije moči - uporaba vezij v frekventnem področju nad 10 MHz in b) če je število uporov na vezju >10-15 Na koncu so podali rezultate ankete v 231 firmah v Italiji zakaj ne uporabljajo hibridnih vezij: 31 % zaradi tradicionalne uporabe tiskanih vezij, 34% ne pozna hibridne tehnologije, 26% menijo da so neekonomična in 9% 229 Informacije MIDEM 20(1990)4, Ljubljana zaradi uporabe SMT tehnologije. Na osnovi podanih analiz so zaključili, da za izdelavo vezij v telekomunikacijskih napravah obe tehnologiji nista konkurenca druga drugi, temveč ju enakopravno uporabljajo pri izdelavi vezij, odvisno od zahtev vezja. Še en prispevek avtorja J. Gingell iz Anglije: "Uporaba hibridnih vezij v telekomunikacijah" poudarja izbiro debeloplastne tehnologije v primeru zahteve za visoko kvaliteto vezij in v primeru vezij z več upori v razmerju z ozko toleranco. Lahko se izbere mešana tehnologija: tiskana plošča s SMD komponentami in hibridnimi vezji kot moduli. Čepravse SMT tehnologija hitro razvija, ponujajo hibridna vezja rešitev v področju, kjer ni možno zadovoljiti zahtev za kvaliteto po zmerni ceni. V referatu L. Benini iz Marelli Autronica z naslovom: " Uporaba vezij v avtomobilski industriji in izbire v Marelli Autronica", so primerjali obe tehnologiji za uporabo v prostoru avtomobila in motorja avtomobila. Zaradi vedno zahtevnejših pogojev funkcioniranja vezij v prostoru motorja avtomobila, zahteve na kvaliteto vezja so višje. Posebno ostri pogoji delovanja vezij so v prostoru motorja, zaradi visoke temperature, vlage in korozivnih tekočin. Glede na zahteve vezij so primerjali možnost uporabe SMT in debeloplastne tehnologije pri izdelavi vezij. SMT tehnologija ima manj možnosti uporabe v področju motorja avtomobila zaradi omejenega temperaturnega področja delovanja vezij, neodpornosti vezij na vlago in korozivne tekočine in manjšo zanesljivost (vezja večjih dimenzij ne prestanejo preizkusa na temperaturne spremembe od -30°C do 130°C). V primeru izdelave vezij v debeloplastni tehnologiji temperaturno področje uporabe je višje, vezja so odporna na vlago in korozivne tekočine ter zanesljivost vezij je večja. V primerjavi s SMT tehnologijo, debeloplastna vezja imajo manjše število spajkanih komponent, ali se uporabljajo gole silicijeve tabletke bondirane z zlato žičko , katerih zanesljivost povezav je višja. Problem pri izdelavi močnostnih vezij za avtomobilsko industrijo se rešuje na ta način, da se močnostna vezja razdelijo od logičnih vezij. Močnostna vezja se izdelujejo v debeloplastni tehnologiji na ta način, da se močnostne tabletke pritrjujejo na substrat s postopkom direktnega bondiranja na baker, nato pa na substrat iz AINi, zaradi boljše toplotne prevodnosti. Na koncu so pokazali svoje vezje izdelano v debeloplastni tehnologiji za vžig v motorju, katero vsebuje debeloplastne upore, chip kondenzatorje, močnostne diode, integrirana vezja (eno vezje je močnostni smart chip), skupaj okrog 70 komponent. Vezje so izdelali v dveh slojih, močnostne tabletke montirali na baker pritrjen na keramiko, za lepljenje tabletk so uporabili prevodna polimerna lepila. V referatu M. Weinholda iz Du Ponta z naslovom :"SMT na PCS; izziv za hibridno industrijo" je za določeno uporabo vezij pokazal prednost PCB tehnologije v primerjavi s hibridno tehnologijo (velika gostota prevodnih linij na več plasteh in luknje za povezavo med posameznimi plastmi). Tiskana vezja so lahko večjih dimenzij, večje gostote linij , možna je izdelava do 14 plasti. Prikazal je optimalno izbiro vezja za video kamero Sonny s kombinacijo tiskanega vezja, fleksibilnega tiskanega vezja in hibridnega vezja. Referat D. Reina iz Hybriteca z naslovom: "Ekonomska primerjava v površinski montaži" kaže rezultate uporabe obeh tehnologij pri izdelavi vezij. Tudi v tem referatu so podane nekatere zahteve vezij, ki jih laže zadovolji hibridna tehnologija. To so: večja zanesljivost, večja toplotna prevodnost, širše temperaturno področje uporabe, funkcionalno doravnavanje vezij, preciznost uporovnih vrednosti, manjše število odpovedi, možnost montiranja golih tabletk. V primerih, kjer vezje vsebuje majhno število komponent za medsebojno povezavo, majhno število uporov, veliko število kondenzatorjev, je boljša izbira površinske montaže na tiskano ploščico. Na konkretnem primeru izdelave vezja s 4 intergriranimi vezji, 4 polprevodniškimi komponentami, 20 keramičnimi kondenzatorji in 26 upori so pokazali obe izvedbi vezij, SMT vezje in hibridno vezje. Na tem primeru so izračunali ceno vezja in pokazali, da je izvedba v hibridni tehnologiji 15% cenejša. Število odpovedi v hibridni izvedbi je bilo veliko manjše (3%) v primerjavi s SMT izvedbo vezja (20%). Na osnovu teh izkušenj trdijo da je vezje z najmanj 10 uporov cenejše v hibridni izvedbi kot pa v SMT. mag. Dubrovka Ročak, dipl. ing. Institut Jožef Stefan Jamova 39, Ljubljana POROČILO S SEMINARJA "NATO-ASI, SUPERMAGNETS, HARD MAGNETIC MATERIAL NATO Advanced Study Institutes (zaradi verodostojnosti bom izraze navajal v originalu) so ena od oblik organiziranega študija v okviru NATO International Scientific Exchange Programmes, ki podpirajo mednarodno individualno sodelovanje znanstvenikov in raziskovalcev. (Ne kot večina mednarodnih znanstvenih programov, ki so orientirani v institutsko ali programsko sodelovanje). Namen ASI je širjenje novih spoznanj, ki še niso dostop- na v publikacijah in spodbujati mednarodno znanstveno sodelovanje skozi znanstvena srečanja v obliki seminarskega študija. Seminarji trajajo dva tedna, organizirani so na post -doktorskem nivoju. Razmerje med predavatelji in študenti je navadno okoli 1:5 (10 do 20 predavateljev in 60 - 80 ostalih udeležencev). Prispevki (predavanja, diskusije, povzetki posterjev) izidejo v eni od izdaj NATO ASI Series. 230 Informacije MIDEM 20(1990)4, Ljubljana Letošnji NATO ASI je bil od 10. do 23. junija v konferenčnem centru ll-Ciocco v Italiji, pod naslovom "Su-permagnets, Hard Magnetic Materials". Zasnovan je bil kot izpopolnjevalni seminar za maglstrante, doktorante, post-doktorante in inženirje s področja permanentnih magnetnih materialov, s poudarkom na Fe-Nd- B magnetih. Organizirane so bile tudi poster sekcije, kjer so udeleženci lahko prikazali svoje nove dosežke. Naša skupina (S. Beseničar, J. Hole in B. Saje) je predstavila poster z naslovom: "Hydrogénation of Nd-Dy-Fe-B alloy", v katerem smo obravnavali kinetiko hidriranja zlitine. V okviru izobraževalnega dela seminarja je bilo organiziranih 47 (sedeminštirideset) enournih predavanj, predvsem s petih področij teorije In tehnologije magnetizma Fe-Nd-B magnetov:fizike magnetizma, faznih relacij, preiskovalnih metod, tehnoloških postopkov in uporabe. Pri fizikalnih osnovah magnetizma so bile obravnavane strukturne lastnosti, magnetna anizotropija, Izračuni elektronskih struktur, izmenjalno in kristalno polje ter spinska reorientacija. V okviru preiskovalnih metod, smo poslušali predavanja o Moessbauerjevi spektroskopiji, NMR in nevtronski spektroskopiji na mikro nivoju, na makro nivoju pa o preiskavi prahov z rentgensko dlfrakcijo in SPD metodo. O faznih relacijah v sistemih ki tvorijo magnetne zlitine so bila predavanja o faznih diagramih, metastabilnih fazah, novih spojinah in mikromagnetnih osnovah "Hi-tech" trajnih magnetov. Predstavljena je bila uporaba novih, alternativnih tehnoloških postopkov, kot so ultrahitro ohlajanje, mehansko legiranje in rotacijsko kovanje, (nekaj alternativnih postopkov s tega področja je opisano v članku Saje, Holc, Beseničar:"Nove tehnologije za izdelavo Fe-Nd-B magnetov", Informacije MIDEM, 20(4), 1990). O uporabi Fe-Nd-B magnetov je tekla beseda predvsem o načrtovanju, analizi in simulaciji PM strojev in elektromotorjev. ASI se je udeležilo okoli 70 slušateljev in 20 predavateljev. Slušatelji so bili večinoma doktoranti in raziskovalci post- doktoranti iz Zahodne Evrope, ZDA, Kanade, Brazilije, Argentine, Kitajske, Japonske, ... . Iz Vzhodne Evrope ni bilo nobenega. Splošni vtis je tak, da v velikih raziskovalnih laboratorijih delajo na vseh tehnikah in vseh zlitinah (FeNdB, SmCos, S1TI2C017, nove zlitine), preferenčno pa na tisti in tistem postopku za katerega imajo patent. Na vseh zlitinah se dela zato, ker zaenkrat še ne poznamo univerzalnega magneta, ki bi zadovoljeval vse zahteve (magnetne, temperaturne, mehanske, korozijske in ekonomske). Na novih sestavah se dela predvsem zato, da se izogne patentnim zaščitam. Boris Saje, dipl. ing. met. Iskra Magneti, Stegne 37, 61000 Ljubljana (MR na Institutu "Jožef Štefan", Jamova 39,61111 Ljubljana) PREDSTAVLJAMO DO Z NASLOVNICE Gorenje Point - Podjetje za informacijske tehnologije d.o.o. GORENJE POINT DANES Gorenje Point je sodobno tržno orientirano podjetje s 36 zaposlenimi, ki so pred tem delali v razvoju in v proizvodnji računalniških terminalov v Gorenju in v proizvodnji osebnih računalnikov v bivši Iskri Delti. Urejeni lastninski odnosi omogočajo učinkovito upravljanje, saj sta v podjetju ustrezno prepletena družbeni kapital Gorenja Elektronika in bivše Iskre Delte ter zasebni kapital zaposlenih, ki ga je za dobro četrtino celotnega kapitala. Zasebni interes zagotavlja izjemno angažiranost zaposlenih, povezanost s koncernom Gorenje pa omogoča poslovnost, kakršna je normalna za veliko večja podjetja kot je Gorenje Point danes. Podjetje je registrirano za širok spekter dejavnosti, ki sodijo v okvir razvoja, proizvodnje, trženja in vzdrževanja računalniške strojne opreme ter sistemske In aplika- tivne programske opreme, kakor tudi za mehansko prototipno dejavnost v kovinski stroki. V sedanjem proizvodnem in tržnem programu so: Osebni računalniki DIALOG Proizvodnja, testiranje, trženje, instaliranje in servisiranje IBM ATkompatlbilnlh računalnikov in njihovih mrež, s postrebno terminalno opremo vseh konfiguracij in zmogljivosti, ki so običajne na svetovnem tržišču. Z najcenejšo ponudbo kvalitetnih osebnih računalnikov na jugoslovanskem tržišču uresničuje Gorenje Point enega izmed svojih ciljev - osebni računalnik naj postane širo-kopotrošno blago, dostopno za računalniško opismenjevanje mladih, kakor je to v razvitem svetu. 231 Informacije MIDEM 20(1990)4, Ljubljana Večuporabniški računalniški sistemi Dobava in instalacija Digital kompatibilnih Microvax računalniških sistemov, terminalne opreme, sitemske programske opreme in izbor poslovne aplikativne programske opreme. Razvoj in trženje strojne in programske opreme za UNIX operacijske siteme, ki se uveljavljajo kot mednarodni standard za vse vrste računalnikov - od osebnih računalnikov in njihovih mrež do velikih računalniških sistemov. Sistemi za registracijo delovnega časa KRONOS Proizvodnja, trženje in servisiranje registratorjev, mrežnih krmilnikov in potrebne računalniške podpore za registracijo z magnetnimi karticami in tekoče računalniško ažurirano vodenje vseh evidenc v zvezi s prisotnostjo delavcev na delu. Sistem je licenčno odkupljen od IJS Ljubljana, nadaljnji razvoj pa teče v medsebojnem sodelovanju. Sistemi Telestik Proizvodnja, trženje in vzdrževanje strojne in programske opreme sitemov za obveščanje v internih TV mrežah na osnovi teleteksta z možnostjo aplikacije video strani. Trgovinska informacijska oprema Dobava, instaliranje in vzdrževanje računalniške strojne in programske opreme za trgovine in trgovska skladišča. Programska oprema Zaokrožitev ponudbe programske opreme za poslovne aplikacije iz lastnih virov in od nekaterih specializiranih proizvajalcev programske opreme, s katerimi smo vzpostavili trajnejše poslovno sodelovanje Gorenje Point jutri Rezultati prvih desetih mesecev poslovanja kažejo, da je Gorenje Point na dobri poti, kakor nazorno kaže histogram prodaje osebnih računalnikov DIALOG. Z manjšimi odstopanji in z nekoliko počasnejšim tempom raste tudi prodaja drugih izdelkov, ki jih Gorenje Point ponuja trgu. Kljub temu, da je bilo podjetje Gorenje Point ob ustanovitvi usmerjeno predvsem v proizvodnjo, sestavljanje in trženje strojne opreme, je razvojna strategija naravnana v zaokroženo ponudbo različnih računalniško podprtih poslovnih in drugih aplikativnih orodij. V kratkem času je vodstvu podjetja uspelo v povezavah s specaili-ziranimi podjetji in v povezavi z Odsekom za računalništvo Instituta Jožef Stefan zagotoviti širok izbortakšnih delujočih orodij, od sistema Kronos do ponudbe aplikativnih poslovnih programov v operacijskem sistemu UNIX. Tak razvojni in tržni pristop vsekakor opravičuje marketinški slogan, s katerim se poidjetje predstavlja javnosti, namreč Z Gorenjem Point od tod do poslovnosti. Gorenje Polnt sprejema tudi izziv svetovnega trga in resno obdeluje predvsem vzhodnoevropska tržišča s precejšnjimi možnostmi za uspeh. Usposobitev nekaterih izdelkov za izvoz poteka načrtno s povečevanjem vlaganj v nujne raziskave in razvoj, kamor bo že v letu 1991 vloženih okrog 10% celotnega prihodka podjetja. Na svetovnem in tudi na jugoslovanskem trgu za izdelke visoke tehnologije ni srednje poti. Dolgoročno lahko preživijo samo podjetja, ki so med najboljšimi in tega se Gorenje Point zelo dobro zaveda. Storitve mehanske delavnice Vse vrste prototipnih storitev in izdelava majhnih serij istovrstnih kovinskih ali plastičnih izdelkov s sodobnimi CNC krmiljenimi stroji. kom. DIREKTOR mag. Drago Šulek GORENJE POINT Žarova 19, Velenje 100 80 60 40 20 0 mesec jan tet) mar apr RAST PRODAJE - RAČUNALNIKI DIALOG 232 Informacije MIDEM 20(1990)4, Ljubljana ČLANI MIDEM Novi člani Jenko Monika 654 Jovin Jelena 652 Kolenko Štefka 682 Lipnjak Gorana 681 Micovič Miroslav 680 Obradovič Goran 649 Suhadolnik Pavla 650 Čadež Pečar Zvezda 653 PISMO UREDNIKU V članku "Čas mrhovinarjev, čas tabloidov", objavljenem v sobotni prilogi Dela (3., november 1990), Janez Stanič piše med ostalim o poplavi novih tabloidov, na primer Lady ali Kaj in potoži, zakaj ni poleg Vročega Kaja tudi kake nove, "vroče" kulturne ali znanstvene revije. Pa si poglejmo kar naše Informacije MIDEM. Je solidna revija z dobrimi članki in prispevki, to pa je tudi vse. Kar pzinajmo si, malokdo od nas željno zalista po novem izvodu s trepetajočimi rokami in pričakovanjem v srcu, razen če upamo, da je prav v tej številki objavljen kak naš umotvor. Zato imamo nekaj predlogov za spremembo profila Informacij, s čimer bi postale bolj "vroče", si razširile krog bralcev in zmanjšale remitendo. Na primer: Rubrika Ljudje: Pikantne podrobnosti iz življenja znanih mikroelektronikov. Poročila s konferenc: SD 90 - kaj se je ponoči zares dogajalo v hotelu Radin? Pregledni članki o razvoju stroje: Bardeen in Brattain sta očeta tranzistorja! Zakaj se o materi še danes, po 42 letih molči? Na naslovnicah pa bi lahko namesto slik integriranih vezij, merilnih instrumentov ali difuzijskih peči objavljali slike mlajših članic društva MIDEM v kopalkah. To je bilo samo nekaj idej, verjetno pa lahko bralci in uredništvo dodajo še precej novih, ki bi sivino strokovne revije povzdignile k dražljivosti trač časopisv in hkrati ohranile kvaliteto. Z lepimi pozdravi vaš zvesti bralec Marko Hrovat, Institut Jožef Štefan KOLEDAR PRIREDITEV 1991 FEBRUAR 25.-28. Modern Microwave Techniques, seminar CEI, Gartnisch- Partenkirchen 25.-1.marec MESFETand Heterostructure Based MMIC's, seminar CEI, Garmisch-Partenkirchen_ APRIL 8.-12. VLSI Lithography, seminar CEI, Pisa Plasma Etching forVLSI Chemical Vapor Deposition for VLSI CMOS/BICMOS Process Integration MOS Devices for Advanced VLSI 15.-19. RF and Microwave Circuit Design, Linear Circuits, seminar CEI, Pisa 21.-24. VI.Evropska konferenca o MB-epitaksiji in sorodnih načinih rasti kristalov, Tampere, Finska 22.-26. RF and Microwave Circuit Design, Non-Linear Circuits, seminar CEI, Pisa___ MAJ 5.-11. III.Evropska konferenca o rasti kristalov, Budimpešta 14.-16. SENSOR 91, Nueremberg (info. ACS Organisation, Von Muenchahausen str. 29, D-3050, Wunstorf 2) 15.-17. MIEL91, 19. jugoslovanska konferenca o mikro-elektroniki, Beograd (info. MIDEM tel. 061- 316886) 19.-24. MIPRO'91, Opatija (info. M.FIliferovič, tel 051-211051) 29. - 31. 8th European Hybrid Microelectronics Conference, Rotterdam, (Info. Eurocongres, Koningslaan 52, NL 1075 AE Amsterdam)___ JUNIJ 11.-14. LASER 91 Muenchen (info. OZEHA Zagreb, tel. 041-421322)__ SEPTEMBER 9.-12. ECOSS 12,12,evropska konferenca o znanosti površin, Stockholm- Uppsala (info. ECOSSS12 c/o CON-GREX BOX 5619, S-11486, Stockholm) 22.-25. V.konferenca o senzorjih in njih uporabi, Edinburgh 23.-25. EVC-3,3. evropska vakuumska konferenca, Dunaj (info. W.Husinsky, Inst, f. Allgemeine Phys., TU Wien) OKTOBER 14.-18. ECASIA-91 4. evropska konferenca o uporabi metod za analizo površin in faznih mej, Budimpešta (Info. L.Kover, MTA ATOMKI, H-4001 Debrecen, p.f.51) 21. - 25. SYSTEMS 91 München (Info. OZEHA Zagreb, tel. 041-421322)_ NOVEMBER 12. - 16. PRODUCTRONICA 91 München (info. OZEHA Zagreb, tel. 041- 421322)_ 233 Informacije MIDEM 20(1990)4, Ljubljana NAVODILA AVTORJEM Informacije MIDEMjeznanstveno-strokovno-dru-štvena publikacija Strokovnega društva za mikroelektroniko, elektronske sestavne dele in materiale-MIDEM. Časopis objavlja prispevke domačih in tujih avtorjev, še posebej članov MIDEM, s področja mikroelektronike, elektronskih sestavnih delov in materialov, ki so lahko: izvirni znanstveni članki, predhodna sporočila, pregledni članki, razprave z znanstvenih in strokovnih posvetovanj in strokovni članki. Članki bodo recenzirani. Časopis objavlja tudi novice iz stroke, vesti Iz delovnih organizacij, inštitutov in fakultet, obvestila o akcijah društva MIDEM In njegovih članov ter druge relevantne prispevke. Strokovni prispevki morajo biti pripravljeni na naslednji način 1. Naslov dela, imena in priimki avtorjev brez titul. 2. Ključne besede in povzetek (največ 250 besed). 3. Naslov dela v angleščini. 4. Ključne besede v angleščini (Keywords) in povzetek v angleščini (Abstract). 5. Uvod, glavni del, zaključek, zahvale, dodatki in literatura. 6. Imena in priimki avtorjev, titule in naslovi delovnih organizacij, v katerih so zaposleni. Ostala splošna navodila 1 Članki morajo biti tipkani na listih A4 formata v vrsticah dolžine 16 cm. Rob na levi strani mora biti širok 3,5-4 cm, 2. V članku je potrebno uporabljati SI sistem enot oz. v oklepaju navesti alternativne enote, 3. Risbe je potrebno Izdelati s tušem na pavs ali belem papirju. Širina risb naj bo do 7.5 oz. 15 cm. Vsaka risba, tabela ali fotografija naj ima številko In podnapis, ki označuje njeno vsebino. Risb, tabel In fotografij ni potrebno lepiti med tekst, ampak jih je potrebno ločeno priložiti članku, V tekstu je potrebno označiti mesto, kjer jih je potrebno vstaviti. 4. Delo je lahko napisano in bo objavljeno v kateremkoli jugoslovanskem jeziku v latinici in v angleščini. Uredniški odbor ne bo sprejel strokovnih člankov, ki ne bodo poslani v treh izvodih. Avtorji, ki pripravljajo besedilo v urejevalnikih besedil, lahko pošljejo zapis datoteke na disketi (360 ali 1,2) v formatih ASCII, Wordstar (3.4, 4.0), Wordperfect, word, ker bo besedilo oblikovano v programu Ventura 2.0. Grafične datoteke so lahko v formatu HPL, SLD (AutoCAD), PCX ali IMG/GEM. Avtorji so v celoti odgovorni za vsebino objavljenega sestavka. Rokopisov ne vračamo. Rokopise pošljite na naslov Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Titova 50, 61000 Ljubljana UPUTE AUTORIMA Informacije MIDEM je znanstveno-stručno-druš-tvena publikacija Stručnog društva za mikroelek-troniku, elektronske sestavne dijelove i materijale - MIDEM. Časopis objavljuje priloge domačih i stranlh autora, naročito članova MIDEM, s podru-čja mikroelektronike, elektronskih sastavnih dije-lova in materijala koji mogu biti: izvorni znanstveni člancl, predhodna priopčenja, pregledni člancl, ¡zlaganja sa znanstvenih i stručnih skupova i stručni članci. Članci če biti recenzirani. Časopis takoder objavljuje novosti iz struke, oba-vijesti iz radnih organizacija, instituta i fakulteta, obavljesti o akcijama društva MIDEM i njegovih članova i druge relevantne obavijesti. Stručni članci moraju biti pripremljeni kako slljedi 1. Naslov članka, imena i prezimena autora bez tltula. 2. Ključne riječi i sažetak (najviše 250 riječi). 3. Naslov članka na engleskom jeziku. 4. Ključne riječi na engleskom jeziku (3Key Words) i sažetak na engleskom jeziku (Abstract). 5. Uvod, glavni dio, zaključni dio, zahvale, dodaci i literatura. 6. Imena I prezimena autora, titule i naslovi institucija u kojima su zaposleni. Ostale opšte upute 1. Priloži moraju biti strojno pisani na llstovima A4 formata u redovima dužine 16 cm. Na lijevoj strani teksta treba biti rub širok 3.5 do 4 cm. 2. U prilogu treba upotrebljavati SI sistem jedinica od. u zagradi navesti alternativne jedinice. 3. Crteže treba izraditi tušem na pausu I bijelom paplru. Širina crteža neka bude do 7.5 odnosno 15 cm. Svaki crtež, tablica ili fotografija treba imati broj I naziv koji označuje njen sadržaj. Crteže, tabele i fotografije nlje potrebno lijepiti u tekst, več ih priložiti odvojeno, a u tekstu samo naznačiti mjesto gdje dolaze. 4. Rad može biti pisan i biti če objavljen na bilo kojem od jugoslavensklh jezika u latinici i na engleskom jeziku. Autori mogu poslati radove na disketama (360 ili 1,2) u formatima tekst procesora ASCII, Wordstar (3.4. i 4.0), word, Wordperfect pošto če biti tekst dalje obraden u Venturi 2.0. Grafičke datoteke mogu biti u formatu HPL, SLD (AutoCAD), PCX ili IMG/GEM, Urednički odbor če odbiti sve radove koji neče biti poslani u tri primjerka. Za sadržaj članaka autori odgovaraju u potpu-nosti. Rukopisi se na vračaju. Rukopise šaljite na adresu: Uredništvo Informacije MIDEM Elektrotehnična zveza Slovenije Titova 50, 61000 Ljubljana INFORMATION FOR CONTRIBUTORS Informacije MIDEM is professional-scientific-so-cial publication of Yugoslav Society for Microelectronics, Electronic Components and Materials. In the Journal contributions of domestic and foreign authors, especially members of MIDEM, are published covering field of microelectronics, electronic components and materials. These contributions may be: original scientific papers, preliminary communications, reviews, conference papers and professional papers. All manuscripts are subject to reviews. Scientific news, news from the companies, institutes and universities, reports on actions of MIDEM Society and its members as well as other relevant contributions are also welcome. Each contribution should include the following specific components: 1. Title of the paper and authors' names. 2. Key Words and Abstract (not more than 250 words). 3. Introduction, main text, conclusion, acknowledgements, appendix and references. 4. Authors' names, titles and complete company or institution adress. General information 1. Papers should be typed on page format A4 In lines up to 16 cm long. Space on left side of the text should be at least 3.5 to 4 cm long. 2. Authors should use SI units and provide alternative units in parentheses wherever necessary. 3. Illustrations should be in black on white or tracing paper. Their width should be up to 7.5 or 15 cm. Each Illustration, table or photograph should be numbered and with legend added. Illustrations, tables and photografphs are not to be placed into the text but added separately. Hower, their position in the text should be clearly marked, 4. Contributions may be written and will be published in any Yugoslav language and In engllsh. Authors may send their files on formatted diskettes (360 or 1,2) in ASCII, Wordstar (3.4 or 4.0), word, wordperfect as text will be formated in Ventura 2.0. Graphics may be in HPL, SLD (AutoCAD), PVX or IMG/GEM formats. Papers will not be accepted unless three copies are received. Authors are fully responsible for the content of the paper. Manuscripts are not returned. Contributions are to be sent to the address: Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Titova 50, 61000 Ljubljana, Yugoslavia JUGOSLOVANSKI TERMINOLOŠKI STANDARDI ! -v .1 4.1.8 • nekoncentričnost jezgrorefereritna površina • pogreška koncentričnosti jezgre i referentne površine • HeKOHueHTpv^HOCT Mefy cpueeuHaia vi pecjie-peHTHaTa nospiuuna • nekoncentričnost stržena in referenčne površine • core-reference surface concentricity error Nekoncentričnost je definirana z obrazcem: N Ccr. ~ 0 kjer je: V — razdalja med središčema stržena in referenčne površine. 4.1.9 4.1.10 • eliptičnost jezgra • nekružnost jezgre • ennrm«HOCT Ha cpueBUHaia • eliptičnost stržena • core non-circularity Eliptičnost je definirana z obrazcem: ' D _ n M _ c max c min_ ■ e 2 D c sv. kjer je: D_ — premer stržena, • eliptičnost omotača • ne-kruznost ovojnice • ennnu'.4HOcr Ha o6anaKara • eliptičnost lupine • cladding non-circularity Eliptičnost je definirana z obrazcem: D -D . m — max mm. 2D 3v. kjer je: D — premer lupine. 4.1.11 • eliptičnost referentne površine • nekružnost referentne površine • emimuHHocT Ha pe$epeHTHaia nospujHHa • eliptičnost referenčne površine « reference surface non-circularity Eliptičnost je definirana z obrazcem: D - D . Hj r max . r min. r 2 • D „ * r sv, kjer je: — premer referenčne površine. 3 o a m M O O c cr li>' 3 01 JUGOSLOVANSKI TERMINOLOŠKI STANDARDI 4.2 Prenosne karakteristike 1 j 4 4.2.1 • prenosna funkcija vlakna • prijenosna funkcija vlakna • npeHOCHa ^HKuiija Ha BJiaKHora • prenosna funkcija vlakna • transfer function of fibre Frekvenčni, amplitudni in fazni odziv optičnega vlakna. 4.2.2 • impulsni odziv • impulsni odziv • HMnynceH 0A3MB • impulzni odziv • impulse response Časovni odziv vlakna na udarni impulz širine nič. 4.2.3 • odziv u opsegu modulacionih frekvencija • odziv u osnovnom frekver.cijskom području • Ofi3HB BO CCH0BH110T nojac • odziv na osnovni pas • baseband response Odziv na pas modulirnih frekvenc se more izraziti v časovnem aii frekvenčnem prostoru: 1. Časovni prostor (time domain): Impulzni odziv je podan s funkcijo g(t), katere konvolucija s sevalno močjo na vhodu v vlakno daje sevalno moč na izhodu iz vlakna. 2. Frekvenčni prostor (frequency domain): Funkcija G{w) je podana z obrazcem: P>2 (¿O) G (w) = -- kjer je: Pi(co) PjM — močnostni spekter signala, ki prehaja skozi povprečni prerez ena (1) vlakna, P^co) — močnostni spekter signala, ki prehaja skozi povprečni prerez dve (2) vlakna. Amplitudni in fazni odziv sta absolutna vrednost oz. argument G(oo). Opomba: Odziva na pas moduliranih frekvenc sta za časovni in frekvenčni prostor povezana z obrazcem: + o» G(w) = J g(t) • exp(-jcot)-dt _ OO JUGOSLOVANSKI TERMINOLOŠKI STANDARDI ! 2 3 4 4.2.4 • propusni opseg • širina pojasa • nponyceH nojac • pasovna širina • bandwidth Širina pasu med mejnima frekvencama, pri katerih ima prenosna funkcija optičnega (ali električnega) vlakna vrednost, ki je za 3 clB (ali za 6 dB) manjša od največje vrednosti. 4.2.5 • spektralna širina; širina spektralnog opsega • spektralna širina pojasa • cnetcrpant-ta mnpnna • spektralna pasovna širina • spectral bandwidth Razlika med valovnima dolžinama, pri katerih znaša jakost sevanja 50 % svoje največje vrednosti, če ni drugače določeno. 4.2.6 • efektivno jezgro • djelotvorna jezgra ® ecjjeKTHDHa cpueeuHa • učinkoviti stržen • effective core Za izhodni povprečni prerez v podani doižini optičnega viakna, vzbujenega pri vnaprej določenih pogojih, je učinkoviti stržen površina, ki jo obdaja krivulja, nastala s povezavo vseh točk, v katerih ima sevalna gostota mejno vrednost po t. 4.2.7 (glej definicijo izraza: učinkoviti premer stržena). 4.2.7 • efektivni prečnik jezgra ® djelotvorni promjer jezgre • npeHHuK na etteKTMBHaTa cpueBMHa • učinkoviti premer stržena • efective core diameter Najmanjši premer, ki gre skozi središče stržena v vhodnem povprečnem prerezu podane dolžine optičnega vlakna, vzbujenega pri vnaprej določenih pogojih, in pri katerem je gostota sevalne moči na obeh koncih večja kot gostota v lupini, in to za vrednost, ki je k-krat-na razlika med največjo gostoto sevalne moči in gostoto sevalne moči v lupini. Opomba: Kot v primeru stržena, je tudi tu možno definirati največjo, najmanjšo in povprečno vrednost ter koncentričnost in eliptič-nost. ! JUGOSLOVANSKI TERMINOLOŠKI STANDARDI ! 2 3 4 <4.2.8 • širina impulsa u vlaknu • širina impulsa u vlaknu • lunpuHa Ha viMnyncoT 80 onTUMKOTO BJiaKHO • širina impulza v vlaknu • fibre pulse width Celotna širina na polovici amplitude impulza ali celotna širina povprečne kvadratne vrednosti impulza. 4.2.9 • dužina impulsa; širina impulsa • trajanje impulsa • flonjKUHa na nwnyncoT • dolžina impulza • pulse length Časovni interval, v katerem pride do emitiranja energije impulznega laserja. Navadno se meri med točkama polovične moči pri 0,707 celotne višine napetostnega ali tokovnega impulza. 4.2.10 • preslušavanje ® preslušavanje • npecnywyeai-be • presluh « crosstalk Prehod signala z enega optičnega vodnika na drugega, ki se more meriti. 4.3 Optične karakteristike 4.3.1 • prečnik svetlosnog snopa • promjer svjetlosnog snopa • npeHHHK Ha CBeinuHCKv.oT cnon • premer (svetlobnega) curka • beam diameter Razdalja med dvema diametralnima točkama, v katerih je gostota moči ali energije določeni del (navadno 1/2, 1/e, 1/e2 ali 1/10) temenske gostote. 4.3.2 i • divergencija svetlosnog snopa • rasap svjetlosnog snopa • AviBepreHunja na CBemHHCKMOT CHon • divergenca (svetlobnega) curka • beam divergence Večanje premera svetlobnega curka z večanjem razdalje od izhodne odprtine vira. Divergenca v mrad se meri v določenih točkah, navadno tam, kjer gostota moči ali energije upade na 1/2 ali 1/e2 največje vrednosti, in se označuje kot polovični kot ali kot celotni k6tdiver-gence svetlobnega curka. 4.3.3 ® širina svetlosnog snopa $o • širina svjetlosnog snopa 0 • UJUpMHa Ha CBeTilMKCKMOT CHon 6 0 ■ • širina (svetlobnega) curka 0 • beamwidth 8 O Razlika med kotoma, pri katerih sevalna jakost I upade na 50 % temenske vrednosti, če ni drugače določeno.