UDK 621,3:(53+54+621 +66)(05)(497.1 )=00 ISSN 0352-9045 Strokovno društvo za mikroelektroniko elektronske sestavne dele in materiale 1998 Strokovna revija za mikroelektroniko, elektronske sestavne dele in materiale Journal of Microelectronics, Electronic Components and Materials ADSL-Front End Chip INFORMACIJE MIDEM, LETNIK 28, ŠT. 2(86), LJUBLJANA, junij 1998 * t ' ll Smart Power Design Fingerprint Sensor Chip SIEMENS Microelectronics Design Center Villach and Graz/Austria A competence Center for Microelectronics Systems Integration Smart Power Mixed Signal Chip Card and Security INFORMACIJE MIDEM 2 1998 INFORMACIJE MIDEM LETNIK 28, ŠT. 2(86), LJUBLJANA, JUNIJ 1998 INFORMACIJE MIDEM VOLUME 28, NO. 2(86), LJUBLJANA, JUNE 1998 Izdaja trimesečno (marec, junij, september, december) Strokovno društvo za mikroelektroniko, elektronske sestavne dele in materiale. Published quarterly (march, june, september, december) by Society for Microelectronics, Electronic Components and Materials - MIDEM. Glavni in odgovorni urednik Dr. Iztok Šorli, dipl.ing., Editor in Chief MIKROIKS d.o.o., Ljubljana Tehnični urednik Executive Editor Uredniški odbor Editorial Board Časopisni svet International Advisory Board Naslov uredništva Headquarters Dr. Iztok Šorli, dipl.ing. Doc. dr. Rudi Bablč, dipl.ing., Fakulteta za elektrotehniko, računalništvo in informatiko Maribor Dr.Rudi Ročak, dipl.ing., MIKROIKS d.o.o., Ljubljana mag.Milan Slokan, dipl.ing., MIDEM, Ljubljana Zlatko Bele, dipl.ing., MIKROIKS d.o.o., Ljubljana Dr. Wolfgang Pribyl, SIEMENS EZM, Villach mag. Meta Limpel, dipl.ing., MIDEM, Ljubljana Miloš Kogovšek, dipl.ing., Ljubljana Dr. Marija Kosec, dipl. ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Slavko Amon, dipl.ing., Fakulteta za elektrotehniko, Ljubljana, PREDSEDNIK - PRESIDENT Prof. dr. CorClaeys, IMEC, Leuven Dr. Jean-Marie Haussonne, EIC-LUSAC, Octeville Dr. Marko Hrovat, dipl.Ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Zvonko Fazarinc, dipl.ing., CIS, Stanford University, Stanford Prof. dr. Drago Kolar, dipl.Ing., Inštitut Jožef Stefan, Ljubljana Dr. Giorgio Randone, ITALTEL S.I.T. spa, Milano Prof. dr. Stane Pejovnik, dipl.ing., Kemijski inštitut, Ljubljana Dr. Giovanni Soncini, University of Trento, Trento Prof.dr. Janez Trontelj, dipl.ing., Fakulteta za elektrotehniko, Ljubljana Dr. Anton Zalar, dipl.ing., ITPO, Ljubljana Dr. Peter Weissglas, Swedlsh Institute of Microelectronics, Stockholm Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 1000 Ljubljana, Slovenija tel.: +386 (0)61 31 28 98 fax: +386 (0)61 31 91 70 iztok.Sorli@guest.arnes.si http://pollux.fer.uni-lj.si/midem/journal.htm Letna naročnina znaša 12.000,00 SIT, cena posamezne številke je 3000,00 SIT. Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Annual subscription rate Is DEM 200, separate issue is DEM 50. MIDEM members and Society sponsors receive Informacije MIDEM for free. Znanstveni svet za tehnične vede I je podal pozitivno mnenje o reviji kot znanstveno strokovni reviji za mikroelektroniko, elektronske sestavne dele in materiale. Izdajo revije sofinancl rajo Ministrstvo za znanost in tehnologijo In sponzorji društva. Scientific Council for Technical Sciences of Slovene Ministry of Science and Technology has recognized Informacije MIDEM as scientific Journal for microelectronics, electronic components and materials. Publishing of the Journal is financed by Slovene Ministry of Science and Technology and by Society sponsors. Znanstveno strokovne prispevke objavljene v Informacijah MIDEM zajemamo v: * domačo bazo podatkov ISKRA SAIDC-el, kakor tudi " v tujo bazo podatkov INSPEC Prispevke iz revije zajema ISI ® v naslednje svoje produkte: Sci Search® , Research Alert® in Materials Science Citation Index™ Scientific and professional papers published in Informacije MIDEM are assessed into: * domestic data base ISKRA SAIDC-el and " foreign data base INSPEC The Journal Is indexed by ISI® for Sci Search®, Research Alert® and Material Science Citation Index™ Po mnenju Ministrstva za informiranje št.23/300-92 šteje glasilo Informacije MIDEM med proizvode Informativnega značaja, za katere se plačuje davek od prometa proizvodov po stopnji 5 %. Grafična priprava in tisk BIRO M, Ljubljana Printed by Naklada 1000 izvodov Circulation 1000 issues Poštnina plačana pri pošti 1102 Ljubljana Slovenla Taxe Perçue UDK621.3:(53+54+521+66), ISSN0352-9045 Informacije MIDEM 28(1998)2, Ljubljana ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS M. Maček: Mikro bolometer, I. del: Teoretične osnove 77 M. Maček: Micro Bolometer, Part I: Theoretical Backgrounds M. Maček: Mikro bolometer, II. del: Meritve karakteristik in primerjava z izračuni 81 M. Maček: Micro Bolometer, Part II: Measurements of Characteristics and Comparison with Calculations A. Lechner: Mikrostrukturirani senzorji in aktuatorji - Pregled 90 A. Lechner: Micro-structured Sensors and Actuators: an Overview J. Trontelj: Elektronika za polje integriranih Hallovih senzorjev 95 J. Trontelj: Integrated Hall Sensor Array Electronics M. Kovač, S. Pejovnik: Identifikacija polimernih elektrolitov PE0-M(S03CI)x (M = Li, LiAl, Ca) 102 M. Kovač, S. Pejovnik: Identification of PE0-M(S03CI)x (M = Li, LiAl, Ca) Polymer Electrolytes APLIKACIJSKI PRISPEVKI APPLICATION ARTICLES I. Šorli, R. Mauri: Odprava EMI motenj, I. del. Definicije in osnove 110 I. Šorli, R. Mauri: EMI Suppression, Part I. Definitions and Basics PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE Siemensov mikroelektronski načrtovalski center v Beljaku in Grazu 117 Siemens Microelectronics Design Center, Villach and G raz POROČILA REPORTS D. Križaj: 8. Evropska konferenca o polprevodnikih detektorjih 120 D, Križaj: 8th European Symposium in Semiconductor Detectors M. Hrovat: 4. Evropska konferenca EC-MCM '98 120 M. Hrovat: 4th European Conference on Multi Chip Modules EC-MCM'98 M. Hrovat, D. Belavič: 21. Mednarodni spomladni seminar o elektronski tehnologiji 123 M. Hrovat, D. Belavič: 21st International Spring Seminar on Electronic Technology ISSE'98 VESTI 126 NEWS KOLEDAR PRIREDITEV 137 CALENDAR OF EVENTS Navodila avtorjem 138 Information for Contributors MIDEM prijavnica 139 MIDEM Registration Form Slika na naslovnici: Siemensov mikroelektronski načrtovalski center v Beljaku in Grazu - pravi naslov za mikroelektronsko sistemsko integracijo Front page: Siemens Microelectronics Design Center Villach and Graz - A Competence Center for Microelectronic System Integration 34th INTERNATIONAL CONFERENCE ON MICROELECTRONICS, DEVICES AND MATERIALS with the Satellite Minisymposium on SEMICONDUCTOR RADIATION DETECTORS Rogaška Slatina, SLOVENIA, September 23 - 25,1998 34'" International Conference on Microelectronics. Devices and Materials MIDEM '98, continues the tradition of annual international meetings organized by MIDEM Society, giving podium for presentations and discussions to scientists, researchers and engineers from academia, institutes and industry. Starting in 1998, the programme of the MIDEM Conference will be expanded by the satellite minisyrnposia, dedicated to each year's selected special topic, In the framework of the minisymposium, four to six invited speakers will present different aspects of the chosen topic, thus offering the audience valuable complete information. CONFERENCE TOPICS •h Novel monolithic and hybrid circuit processing techniques + New device and circuit designs ♦ Process and device modeling + Semiconductor physics + Sensors and detectors + Semiconductor radiation detectors + Optoelectronics + Photovoltaic devices + New electronic materials and applications +■ Electronic materials science and technology + Materials characterization techniques + Reliability and failure analysis 4- Education MINISYMPOSIUM on SEMICONDUCTOR RADIATION DETECTORS The lectures will cover all aspects from semiconductor radiation detector processing, modeling, measurements, froni-end electronic.1; and applications. The attendees of the minisymposium will be given a broad overview of these topics from a "tutorial" section, including state-of-the-art and future developments. 'The concepts developed are applicable also to other research areas, dealing with problems of low level light detection, low noise electronics, semiconductor parameter extraction, etc. + Gerhard l,ut7, Max-Plank Institute fur Physik, Munich and MP! Halbleiter, Munich, Germany. (Topic: Semiconductor radiation detector physics and structures; + Joseph Kemmer, KETEK GmbH, OberschleiOheim, Germany. (Topic; Semiconductor radiation detector devices and technology) 4- Peter Weilheimer, CEjRN, Switzerland. {Topic: Semiconductor radiation detector devices and applications) Walter Bonvicini, Imtituto Nazi on ale di Fisica Nucleate, Trieste, Italy. (Topic: Semiconductor radiation detector characterization and measurements) INVITED SPEAKERS of the MIDEM CONFERENCE: Jr Sate Sokolli*, Slavko Air,on, Faculty of Electrical Engineering, University of Ljubljana, Slovenia. "METRONIK, Ljubljana, Slovenia "Models for Corner Transport In the Base ofnpn SiGeHBTs " + K. Reichmann, N. Koch, R Resel, F. Meghdadi, G. Leising, Sonderforechungsbereich "Elefctroafctivc Stoffe", Graz University of Technology, Graz, Austria. " Electrically Conductive Perovskite Type Materials " 4- Marc H. LaBranche, Cornelius J. McComiick, Jerome D. Smith, Roupe« L., Keusseyan, Robert C Mason, Mark A. Fahey, and Christopher R.S. Needs, DuPont Electronic Materials, Research Triangle Park, NC, and Kenneth W. Hang, DuPont Electronic Materials, Experimental Station. Wilmington DE. " Next-Generation, Advanced Thick film Multilayer System" Hans Gugg-Schwaiger, Alcatel Mietec, Muenchen, Germany. " Alcatel Microelectronics 0.5pm Mixed CMOS Process " Marko Topii, Franc Smole, Faculty of Electrical Engineering, University of Ljubljana, Slovenia. "Thin Film Color Detectors Based on Amorphous Silicon" CALL FOR PAPERS Summaries: A summary not longer than 60 lines is required. It must clearly state what new results have been obtained and what techniques used. Deadline: Deadline for receiving the summaries is May IS", 1998. Notification: Deadline for the notification of paper acceptance is June 30", 1998. Receipt of papers: Deadline for the camera ready manuscript of a paper is September 1* 1998. Registration fee: Full 300 USD, MIDEM Members 250 USD Contact person for Conference: Mrs.Meta Limpel, MIDEM c/o MKROIKS, Dunajska 5, 1000 Ljubljana, SLOVENIA, teI.+386-61-3I2 898, fax.+386-6i-319170,Email: Iztok.SorU@guestarnes.si Contact person for Minisymposium: Dr. Dejan Križaj, Laboratory for Electron Devices, Faculty of Electrical Engineering, University of Ljubljana, Slovenia, Tržaška 25, 1000 Ljubljana, Slovenia, tel.-386-61-1768303, lax. -386-61-126 46 30, Email: dejank@fe.uni-lj.si More in formation on the Web page: http://pailux.fer.uni-lj.si/mi(lem/conf98.ltfin UDK621,3:(53+54+621 +66), ISSn0352-9045 Informacije MIDEM 28(1998)2, Ljubljana _____ v MIKRO BOLOMETER (I: TEORETIČNE OSNOVE) Marijan Maček Fakulteta za Elektrotehniko, Laboratorij za mikroelektroniko, Ljubljana Ključne besede: bolometri polisilicijevi, bolometri mikro, mikroelektronika, NETD razlika temperaturna ekvivalenta šumnega, NEP moč šuma ekvivalentna, osnove teoretične, meje temeljne, detektivnost, odzivnost, Johnson šum, šum fononski, IR sevanja infrardeča, IR detektorji sevanja infrardečega, IR senzorji sevanja infrardečega, ločljivost temperaturna Povzetek: V mejnem primeru, ko je napetostni šum omejen z Johnsonovim šumom (4kTR)1/2, sledi na osnovi teoretičnih predpostavk, da je za dobro detektivnost bolometrov ključnega pomena dobra izolacija senzorja, oziroma majhna toplotna konduktanca G, medtem ko temperaturni koeficient spremembe upora p v mejnem primeru sploh ni pomemben. Najmanjša zaznavna temperaturna razlika NETD narašča v tem primeru sorazmerno z G1/2. V bolj realističnem primeru, ko je šum omejen s šumom zaradi fluktuacij temperature (fononski šum), pa se NETD povečuje linearno s toplotno konduktanco G in pada s kvadratnim korenom temperaturnega koeficienta upornosti (3. Micro Bolometer (Part I: Theoretical Backgrounds) Keywords: polysilicon bolometers, micro bolometers, microelectronics, NETD, Noise Equivalent Temperature Difference, NEP, Noise Equivalent Power, theoretical backgrounds, fundamental limits, detectivity, responsivity, Johnson noise, phonon noise, IR radiation, InfraRed radiation, IR detectors, InfraRed detectors, IR sensors, InfraRed sensors, temperature resolution Abstract: In the extreme case, when the noise of bolometer is limited by Johnson noise (4kTR)'/2, the insulation of the sensor from the substrate is of the crucial importance. Therefore heat conductance G. and not the temperature coefficient of resistance (3 is the limiting factor for sensor detectivity. In this extreme case the fundamental limits for noise equivalent temperature difference NETD is proportional to G1/2. On the other hand, when the nois is limitted by the thermal fluctuations (phonon noise) the NETD is proportional to G and inversly proportional to square root of (3. 1.UVOD Za detekcijo toplotnega (IR) sevanja se uporabljajo v glavnem dve skupini senzorjev. V prvo sodijo tako imenovani fotonski detektorji (fotoprevodni, fotonape-tostni..), pri katerih se tvorijo v snovi pod vplivom ra-diacije pari elektron-vrzel. Ker so zelo hitri in občutljivi so bili do nedavnega edini primerni detektorji za uporabo na področju termovizije. Imajo pa dve pomanjkljivosti: - zaradi velikega temnega toka običajno potrebujejo hlajenje na zelo nizke temperature. - njihov odziv je odvisen od valovne dolžine svetlobe tako, da ne zaznavajo svetlobe z valovno dolžino večjo od kritične valovne dolžine (približnol 2 |im), ki je določena z izbiro detektorskega materiala. V drugo skupino detektorjev IR sevanja sodijo toplotni detektorji, v katerih se termična energija sevanja pretvori v toploto. Kljub temu, da so nekaj velikostnih redov manj občutljivi od fotonskih, so naleteli na široko uporabo. Njihov odziv ni odvisen od valovne dolžine in so preprosti za uporabo in vzdrževanje, saj ne potrebujejo nobenega hlajenja. Do nedavnega so se uporabljali predvsem za nekontaktno merjenje tempe-rature in razne opozorilne (alarmne) naprave. V zad-njem času, pa je razvoj mikromehanike in materialov omogočil celo izdelavo nehlajenih nizov (array) za uporabo v termoviz-iji/1/. Toplotni detektorji pretvarjajo IR sevanje v toploto, zaradi česar se spremeni temperatura detektorja. Sprememba temperature se prevede v spremembo izhodnega signala na osnovi /2/: - spremembe upornosti (kovinski, polvodniški, super-prevodni, feroelektrični bolometri) - spremembe termonapetosti - spremembe tlaka (Gollayeva celica) - piroelektričnega efekta.... V nadaljnjem si bomo ogledali osnovne za uporabo nehlajenih toplotnih detektorjev za detekcijo IR sevanja, pri čemer se bomo usmerili predvsem na bolometre, ki so najperspektivnejši med vsemi zgoraj naštetimi vrstami /1/. 2. TEORETIČNE OSNOVE NEHLAJENIH TOPLOTNIH DETEKTORJEV Pri načrtovanju toplotnih detektorjev se običajno izhaja iz želje po izbiri materiala s čim večjo odzivnostjo, to je razmerju spremembe merjene lastnosti zaradi vpliva sevanja IR sevanja. V primeru uporovnih bolometrov se tako želi izbrati material z največjim možnim termičnim koeficientom spremembe električne upornosti R T^P = /R(dR/dT) 0) Podobno se želi tudi v primeru uporabe ostalih mehanizmov detekcije izbrati tak mehanizem ali material, ki ima čim večji termični koeficient. Uspešnejši je pristop pri katerem optimiziramo toplotno izolacijo detektorja. V naslednjem podpoglavju bo teoretično prikazano, kakšen odziv bolometra na sevanje lahko pričakujemo in ali se lahko približamo ultimativnim mejam, to je mejam, ko bo šum bolometra omejen samo z šumom zaradi termičnih fluktuacij nosilcev naboja. V tem primeru lahko pričakujemo, da bo najmanjša zaznavna temperaturna razlika (NETD) blizu limitni vrednosti, ki jo predstavlja šum ozadja. 77 Informacije MIDEM 28(1998)2, str. 77-80 M. Maček: Mikro bolometer (I: teoretične osnove) 2.1 Odziv toplotnega detektorja na modulirano IR svetlobo Spremembo temperature AT mikrobolometra kateremu pripišemo toplotno kapaciteto C in toplotno konduk-tanco G, ob predpostavki, da je efektivna absorbcija /p, pod vplivom časovno modulirane svetlobe P(t) = Poe'cot s frekvenco co (= 2nv) in amplitudo Po, izračunamo iz naslednje enačbe /3, 4/: C^l + G(AT) = l2R + i1P0eii (2) da pomeni, da jo je potrebno čim bolj zmanjšati. Po drugi strani pa je potrebno ustrezno zmanjšati tudi toplotno kapaciteto detektorja. Le na ta način se zagotovi čim večja sprememba temperature, podana z enačbo (6). Sprememba upornosti bolometra, skozi katerega teče električni tok I, in ob predpostavki, da je temperaturni koeficient upornosti (3 neodvisen od temperature (za polprevodne materiale velja to le v ozkem območju, drugače pa je eksponentno odvisen od temperature), je enaka: V enačbi je upoštevana časovno neodvisna Joulova toplota l2R zaradi obremenitve s konstantnim tokom I. Zaradi tega se dvigne temperatura bolometra. Konstantni dvig temperature zaradi Joulovega segrevanja izračunamo iz naslednje enačbe: GAT = l2R(T,i (3) V primeru, da je koeficient [3 temperaturno neodvisen, velja zveza R = Ro(1 +(3AT) in enačba (3) preide v znano Schafftovo enačbo za spremembo temperature ali upornosti vodnika, skozi katerega teče električni tok /5/: al = ■ ß R(D = i R(i = 0) 1-(l/!of V njej je konstanta l0 (karakteristični tok za bolometer) definirana kot AR = R(l)ß ■ AT = R(Ts)ß- >]P0 G(1-(I/I0)2)(I + (COT): >1/2 (8) Odzivnost bolometra S je določena kot sprememba padca napetosti na enoto vpadle radiacije. Za preprosto vezavo, prikazano na sliki 1 a, velja pri pogoju Ri > > Rd naslednja zveza /3/: s = "i hßRc Po (Ri + Rd) g(i + (cox)2 1/2 (9) hßRc Wd(Ts) G(I + («T)2)1/2 G(I + (Ü>T)2)1/2(1-(I/I0)2) iL AR G ßoRo (5) - po = temperaturni koeficient spremembe upornosti pri 300 K, - R0 = upor pri sobni temperaturi in I 0 A. Iz enačbe (5) lahko na preprost in hiter način določimo toplotno konduktanco G, to je parameter, ki enolično določi lastnosti detektorja. Enačba velja za pozitiven temperaturni koeficient ¡3. V tem primeru upor (in temperatura) bolometra, ki ga segrevamo s tokom l->l0 močno naraste. V primeru negativnega koeficienta (polprevodniki, primer polisilicija) sledi, da se upornost s segrevanjem znižuje in pade v primeru konstantnega temperaturnega koeficienta na 1/2. Rešitev enačbe (2), v kateri upoštevamo samo časovno spremenljivo komponento moči (sevanje), je naslednja: AT: 11P0 G 1 + (coif 1/2 (6) V njej je toplotna časovna konstanta t podana kot razmerje med kapaciteto in konduktanco. T = C/ /G (7) Dvig temperature pod vplivom vpadlega sevanja je torej obratno sorazmeren s toplotno konduktanco, kar seve- Podoben rezultat dobimo tudi za mostično vezavo prikazano na sliki 1b. Iz enačbe (9) vidimo, da je odzivnost obratno sorazmerna s toplotno konduktanco G in kakor je za pričakovati sorazmerna s koeficientom (3. To pomeni, da lahko s primerno uporabo tehnološko obvladanih materialov in z uporabo mikromehanskih postopkov, ki zmanšajo konduktanco na zelo nizek nivo, dosežemo mnogo boljša razmerja (3/G, kot če se posvetimo izbiri "eksotičnega" materiala s čim višjim temperaturnim koeficientom p. Po drugi strani vidimo iz enačbe (9), da odzivnost narašča s tokom. V primeru negativnega temperaturnega koeficienta (polprevodniki, polisilicij) je največja odzivnost dosežena pri l = l0, medtem, ko v A V Output IR Radiation Slika 1a. Vezava bolometra za spremljanje časovno modulirane radiacije. 78 M. Maček: Mikro bolometer (I: teoretične osnove) Informacije MIDEM 28(1998)2, str. 77-80 m Radiation Slika 1b. Mostična vezava bolometra za spremljanje konstantnega signala. primeru negativnega temperaturnega koeficienta hitro narašča s tokom, vendar smo omejeni z dvigom temperature, ki preseže vse vrednosti, ko se tok I približuje 2,2 Detektivnost toplotnega detektorja Velikost izmerjenega signala Vs = RPo je pomembna, vendar je še bolj pomembno razmerje med signalom Vs in napetostnim šumom Vš. Možne so tri vrste šuma: - Johnsonov Vj, zaradi termičnega gibanja nosilcev naboja - šum zaradi fluktuacij temperature, imenovan tudi fononski šum. Oba sta frekvenčno neodvisna (bela šuma). Pri nizkih frekvencah pa lahko postane pomemben tudi - 1/f šum, ki je lahko posledica rekombinacijsko generacijskih pojavov v polprevodnih materialih ali pa pojavov na površinah in mejah /6/. Johnsonov šum opišemo z enačbo Vj = V4kTRB (10) k = Boltzmanova konstanta, 1.38x10"23 J/K B = pasovna širina medtem ko napetostni šum zaradi temperaturnih fluktuacij znaša/1/: VT = lp(T)R(l).(AT2) =lj3R.A/kTi7c (11) Enačba kaže, da je ta šum linearen s tokom. V primeru, da je toplotna konduktanca G dovolj majhna (vakuum, prevodne povezave dolžine > 50 kvadratov (=W/I)) in obremenimo bolometer z majhnim tokom (glej enačbo (5) za karakteristični tok l0), se šum zaradi temperaturnih fluktuacij približuje mejni vrednosti, podani z Johnsonovim šumom. Takoj se seveda postavi vprašanje, pri kateri vpadni moči (NEP) bo odziv detektorja enak (ekvivalenten) šumu. Na splošno velja, da je moč NEPn ekvivalentna šumu Vn enaka NEP„ = V„ (12) Količina, ki določi kvaliteto detektorja, je njegova detektivnost D (cmHz1/2/W). Maksimalna detektivnost je definirana kot razmerje med izmerjenim padcem napetosti (signalom Vs) in Johnsonovim šumom Vj, vpadlo močjo P, upošteva pa se tudi površina detektorja A. Določena je kot /1 /: D ......(AdB)1/2Vs_(AdB)1/2^ _ iprjR A 1/2 A 1/2 PV, v, G^4kT(l + coVj (13) Praktično dosegljiva detektivnost, omejena s šumom Vt zaradi termičnih fluktuacij, znaša ob obremenitvi s karakterističnim tokom l0 (samo v primeru negativnega temperaturnega koeficienta, drugače manj) (14) Iz gornjih enačb ponovno vidimo, da je detektivnost obratno sorazmerna s konduktanco. Zato je za doseganje visoke odzivnosti in detektivnosti predvsem pomembna dobra toplotna izolacija, oziroma nizka toplotna konduktanca G, seveda ob primerno nizki toplotni kapaciteti C, zaradi ohranjanja časovne konstante t, (enačba (7)) v željenih mejah. Iz enačbe (14) za šum pri obremenitvi s karakterističnim tokom l0 sledi, da je ob upoštevanju zahtevane frekvence slike (v = 25-30 Hz), dosegljivo naslednje razmerje detektivnosti Dt/Dj=A/2/BVVP^T. Minimalnalna temperaturna razlika, ki jo lahko zazna bolometer, je podana z razmerjem med močjo ekvivalentno šumu (16) in spremembo izsevanega energijskega toka črnega telesa, ki vpade na detektor v odvisnosti od temperature, merjeno znotraj intervala X1-X.2 enaka (dj/dT)x1-x2, pri čemer še upoštevamo lastnosti uporabljene optike s f/no = F in s prepustnostjo t0. NETD = NEP„ (4F2+1)B 1/2 M%r DA"V[di Xl-X2 7dT À1-Â2 (15) 2.3 Teoretične meje bolometrov Postavlja se vprašanje, kakšna je teoretična omejitev bolometrov, oziroma drugače rečeno, katero najmanjšo energijo bo bolometer zaznal in kakšna bo minimalna zaznana tempepraturna razlika. Dobro skonstruirani bolometri bi naj bili omejeni z Johnsonovim šumom. Moč, ekvivalentna Johnsono-vemu šumu (NEPj), znaša /2/ NEP| =V4kT^BG (16) 79 Informacije MIDEM 28(1998)2, str. 77-80 M. Maček: Mikro bolometer (i: teoretične osnove) V tem primeru sledi iz enačbe (12), da je najmanjša temperaturna razlika, ki jo lahko bolometer zazna, sorazmerna z G 2 in je enaka: NETDTFj = 2(4F2 + l)Td(kBG) Wdi 1/2 dt (17) V bolj realnem primeru, ko je šum omejen s šumom zaradi fluktuacij temperature Vt, sledi iz enačb za detek-tivnost (13) in (14), da je NETDtf.t = 1 /2.NETDtfj.((3G/C) . Sposobnost detekcije torej linearno narašča z konduktanco G in pada samo s kvadratnim korenom temperaturnega koeficienta. V primeru, ko pa bi imeli idealni detektor brez šuma in neskončno dobro izolacijo, bi bila njegova sposobnost zaznavanja temperaturne razlike omejena s šumom ozadja. Če upoštevamo, da je v tem primeru efektivna konduktanca posledica sevanja Gef=4i]AaT3, sledi iz enačbe (16) naslednji izraz za minimalno dosegljivo temperaturno ločljivost "neskončno" dobro izoliranega detektorja: NETDp (4F2+1) di/ 7dT 8kG-B(Td5+Tb5) "H ■ Ad t/2 (18) Teoretična najmanjša temperaturna razlika za "neskončno" dobro izolirane senzorje pri pasovni širini B = 30Hz in temperaturi detektorja Td,b = 300 K znaša okrog 0.002 K. Odvisnost NETD je prikazana na sliki 2. Črtkana krivulja je povzeta iz reference /1/ in predstavlja mejo NETDj (samo Johnsonov šum), dodana pa je krivulja za NETDtf (polna črta), izračunana za primer, ko je omejujoč dejavnik šum zaradi temperaturnih fluktuacij in je temperaturni koeficient enak 2%. Vidimo, da se pri konduktancah okrog 100 nW/K približamo fundamen-talni limiti za ločljivost detektorja, medtem ko pri okrog 3 nW/K dosežemo ločljivost enako šumu ozadja. 3« ZAKLJUČEK Na osnovi prikazanih teoretičnih predpostavk sledi, da je za izdelavo dobrega bolometra pomebna predvsem dobra toplotna izolacija, in manj sprememba opazovane fizikalne količine zaradi spremembe temperature. Stanje današnje tehnologije površinske mikromehan-ske obdelave omogoča doseganje željenih vrednosti za toplotno konduktanco, G <1 |iW/K, na voljo pa so tudi dobro okarakterizirani materiali, kot sta polisilicij s temperaturnim koeficientom od -1 - -2 %/K za senzorski material in SiN za absorber in kot eventualna nosilna struktura. Upravičeno se torej lahko pričakuje, da se da na osnovi omenjenih materialov in tehnologij izdelati ustrezne bolometre s temperaturno ločljivostjo NETD pod 0.1 K. 10 0.1 0.01 0.001 limita NETDjf \ limita NETDj limita NETD ¡¡f 1E-9 Slika 2. 1E-8 1E-5 1E-4 1E-7 1E-6 G [W/K] Najmanjša zaznavna temperaturna razlika, izračunana za nehlajeni bolometer ob naslednjih predpostavkah: - Ad = 50x50 mm - absorbcija=50% - faktor polnitve = 50% - optična transmisija % = 90% - optika s fino = 1 - frekvenca = 30 Hz - temp, ozadja = 300K - temp, koeficient - 2% Črtkana krivulja predstavlja NETD\ (omejeno s šumom V\) in je povzeta iz ref. HI, polna krivulja NETDtf in upošteva tudi šum Vtf iz enačbe (11). 4. LITERATURA /1/ P.W. Kruse, Design of Uncooied Infrared Imaging Arrays, SPIE 2746 Infrared Detectors and Focal Plane Arrays IX, Orlando, FL. April 1996 /2/ P.W. Kruse, Uncooied IR Focal Plane Arrays, AeroSense1996, Los Angeles 10.Apr.1998 /31 W. D. Rogatto, Electro-Optical Components, Infrared Information Analysis Center, Envoronmental research institute of Michigan and SPIE Optical Engineering Press, Bellingham 1993. /4/ P.L. Richards, Bolometers for Infrared and millimeter waves, J. Appl. Phys, 76 (1), 1994, 1-24 /5/ H.A. Schafft, Thermal Analysis of Electromigration Structures, IEEE Trans, ED-34, 1987, 664-672 /6/ H.C. Wright, Elementary Semiconductor Physics, Van Nos-trand Reinhold, NY, 1979 Dr. Marijan Maček, dipl. ing. Fakulteta za Elektrotehniko, Laboratorij za mikroelektroniko University of Ljubljana, Faculty of Electrical Engineering, Laboratory for Microelectronics S1 1000 Ljubljana, Tržaška c. 25 Tel.: +386 61 1768 301 E-mail: marijan. macek@fe. uni-lj. si Prispelo (Arrived): 22.5.1998 Sprejeto (Accepted): 7.7.1998 80 UDK621,3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)2, Ljubljana MIKRO BOLOMETER (II: MERITVE KARAKTERISTIK IN PRIMERJAVA Z RAČUNI) Marijan Maček Fakulteta za Elektrotehniko, Laboratorij za mikroelektroniko, Ljubljana Ključne besede: bolometri polisilicijevi, bolometri mlkro, mlkroelektronlka, NETD razlika temperaturna ekvivalenta šumnega, NEP moč šuma ekvivalentna, osnove teoretične, meje temeljne, detektivnost, odzivnost, Johnson šum, šum fononskl, IR sevanja infrardeča, IR detektorji sevanja infrardečega, IR senzorji sevanja infrardečega, ločljivost temperaturna Povzetek: Izdelani so bili polisilicijevi mikro bolometri velikosti 50x50 |jm2, katerih osnovne značilnosti so: toplotna konduktanca v vakuumu okrog 3 |iW/K, odzivnost pri upornosti 22,5 kQ je okrog 1.000 V/W in 3.000 V/W pri upornosti 195 kQ, medtem ko je njihova detektivnost omejena s tokovnim 1/f šumom in dosega vrednost 5x106 cmHz1/2/W, medtem ko je najmanjša zaznavna temperaturna razlika NETD ocenjena na 1°C/Hz1/2. Micro Bolometer (Part II: Measurements of Characteristics and Comparison with Calculations) Keywords: polysilicon bolometers, micro bolometers, microelectronics, NETD, Noise Equivalent Temperature Difference, NEP, Noise Equivalent Power, theoretical backgrounds, fundamental limits, detectivity, responsivity, Johnson noise, phonon noise, IR radiation, InfraRed radiation, IR detectors, InfraRed detectors, IR sensors, InfraRed sensors, temperature resolution Abstract: Polysilicon micro bolometers with dimension 50x50 ¡im2 have been successfully realized. Their basic properties are: heat conductance in vacuum about 3 (.iW/K, responsivity at resistance 22.5 kQ about 1.000 V/W and 3.000 V/W at resistance of 195 kQ, while the detectivity limited by current 1/f noise reaches value of about 5x10s cmHzl/2/W. Noise equivalent temperature difference NETD Is estimated to 1°C/Hz1/2. 1.UVOD Postopki mikromehanske obdelave so prinesli nesluten razvoj pri izdelavi toplotnih detektorjev, predvsem bolometrov, tako da se njihove lastnosti že lahko primerjajo z dosedaj običajnimi fotonskimi detektorji /1/. Ker so ti postopki razviti na osnovi mikroelektronskih tehnologij, jih je sorazmerno preprosto vklopiti v proces izdelave mikroelektronskega vezja. Po drugi strani pa je včasih primerneje, če lahko mikromehanske dele izdelamo naknadno, po izgotovitvi električnega vezja /2/. Seveda je izbira postopkov pri naknadnem procesiranju omejena z največjo temperaturo, ki jo prenese spoj kovina-Si na kontaktih. Ta znaša za standardno metali-zacijo AI-x%Si-y%Cu med 420 in 490°C, odvisno od globine difuzije na kontaktih. Lahko pa se poveča do okrog 600°C, če se uporabi primerna zaporna plast (običajno TiN) ali metalizacija na osnovi W. Za izdelavo bolometrov se uporabljajo razni materiali, ki si izbrani predvsem z željo po čim večjem odzivu na spremembo temperature detektorja. Uporabljajo se predvsem razni kovinskooksidni polprevodniki katerih tipični predstavnik je VOx. Temperaturni koeficient upora (P = 1/R(dR/dT)) za ta polprevodnik znaša okrog -2% pri plastni upornosti okrog 20 k Q/D /1 /. Poroča se tudi o uporabi polikristaliničnega Si-Ge, ki ima lastnosti primerljive polisiliciju /3/, njegov temperaturni koeficient pa znaša prav tako približno -2% pri specifični upornosti 10 Qcm. Podoben temperaturni koeficient (-1.6% pri upornosti p = 10 Qcm) imajo tudi polisilicijeve nizko dopirane plasti, kar kažejo naši rezultati /4/. Po drugi strani je polisilicij tehnološko zelo primeren, saj je standardno uporabljan material v mikroelektroniki, tako da se pri izdelavi lahko uporabljajo dobro poznani postopki. Zaradi navedenih dejstev smo se odločili, da bomo realizirali bolometer na osnovi polisilicija. 2. POSTOPEK IZDELAVE 2.1 Geometrija Tipične velikosti bolometrov se sučejo v razponu od 25-100 |ltm /1 /. V prvem delu /5/ je bilo pokazano, da sta najpomembnejši lastnosti bolometra detektivnost D in minimalna zaznavna temperaturna razlika NETD obratno-sorazmerne s korenom iz površine bolometra. Zato smo se odločili, da ostanemo pri tipični velikosti 50x50 |im2, ki zagotavlja primerno detektivnost, primerno gostoto senzorskih elementov, ni pa še pričakovati tehnoloških problemov, kot je zlepljenje prosto-stoječe strukture zaradi površinske napetosti izpirala med postopki jedkanja nosilne plasti žrtvovanega oksida. Ker smo se želeli izogniti vsem nepredvidenim težavam med postopki izdelave, smo se odločili za izdelavo niza 8, med seboj neodvisnih bolometrov, pri čemer smo namerno povečali razmik med njimi na 50 jim. Shematično je bolometer prikazan na sliki 1a. Njegov izgled v vrstičnem elektronskem mikroskopu pa je prikazan na sliki 1b. Osnovni geometrijski podatki za študirani bolometer in podatki za toplotne prevodnosti in kapacitete uporabljenih materialov povzetih iz /6/, so zajeti v tabeli 1. Za efektivno absorbcijo so bili upoštevani preliminarni 81 Informacije MIDEM 28(1998)2, str, 81-89 M, Maček: Mlkro bolometer (II: Meritve karakteristik^^ In primerjava z računi) ■J. dpi- » | O, M Slika 1. Pol isilicijev bolometer. a) Shematični prikaz polisilicijevega bolometra s pomembnimi dimenzijami. b) Vrstično mikroskopska slika delno spod-jedkanega polisilicijevega bolometra. rezultati meritev absorbcije IR svetlobe na Kemijskem inštitutu /7/. Po njih se v 1 |im debeli plasti silicijevega oksinitrida absorbira okrog 50% IR svetlobe (razpon valovnih dolžin 8-13 (im), okrog 40% svetlobe se odbije, razlika pa vpade na substrat, kjer se deloma spet odbije, deloma pa absorbira. Te ugotovitve podpirajo tudi računi, narejeni v FOTONI /8/. 2.2 Opis izdelave Polisilicijeve bolometre smo izdelali v skladu z procesnimi koraki, kakršni se uporabljajo za izdelavo našega standardnega procesa CMOS z dvema nivojema poli-silicija, s tem da smo drugi nivo uporabili za izvedbo detektorja. Zaradi poenostavitve (trenutno so nas zanimale le možnosti izvedbe polisilicijevega bolometra v okviru obstoječega proces) smo se omejili le na postopke po IV (poli I) maski procesa CMOS. Seveda pa je bilo potrebno vriniti dodatne procesne module. To so: - Depozicija žrtvovanega oksida debeline 2-2.5 |im in ustrezno masko. Na ta način dvignemo bolometer nad nivo rezine (slika 1), saj se žrtvovani oksid na koncu procesiranja odstrani v "počasnem" jedkalu. - Depozicija absorbcijske plasti za IR svetlobo. Uporabili smo plazemski oksinitrid, ki ima zadovoljivo absorbcijo, kar kaže slika 4 /7/. - Po končanem procesiranju je potrebno s posebno masko odpreti področje bolometra. Ker je čas jedkanja žrtvovanega oksida v "počasnem" jedkalu okrog 60 min, je potrebno pasivacijo iz plazemskega nitrida z lomnim količnikom N2 = 2.00-2.05 in bon-dirne blazinice iz Al dodatno zaščititi s tanko plastjo plazemskega nitrida z N2 = 2.2, ki je mnogo odpornejši na "počasno" jedkalo. Kritična faza izdelave bolometra je jedkanje žrtvovanega oksida v "počasnem" jedkalu, oziroma naknadno izpiranje v vodi. Poteka v dveh fazah: - najprej smo delno pojedkali oksid, tako da je ostala vsa struktura podprta s stebričkom oksida dimenzije =10x10 |im2. Po zaščiti sprednje strani s fotorezistom (FR) smo rezino zažagali, tako da je ostalo še okrog 100 |im Si. - Po odstranitvi FR smo odlomili posamezne čipe in jih dokončno pojedkali. Zlepljenje strukture s podlago smo uspešno rešili z uporabo končnega izpiranja v metilnem alkoholu. Tabela 1. Osnovni geometrijski in snovni podatki uporabljeni pri načrtovanju polisilicijevega bolometra. Materialne konstante so vzete iz /6/, medtem ko temelji abosrbcija na rezultatih preliminarnih meritev 171. ime količine oznaka velikost enota spec. upornost N+poli Si povezav Psi 1.00E-05 ižm spec. topi. prevodnost polisiiicija 22 Wm'K"1 spec. topi. prevodnost dušika pri 1 baru AN2(o■) 2.62E-02 Win'K'1 širina poli Si povezave w 5E-6 m rob detektorja a 50E-6 m debelina polisiiicija d poli 1.0E-6 m debelina žrtvovanega oksida d OX 2.0E-6 m debelina SiOxNy pasivacije d pas 1E-6 m efektivna absorbcija pasivacijske plasti Veff 50% specifična toplota poli Si C ptl L6E+6 WsK'V3 specifična toplota pasivacije CPP 1.5E+6 WsK'W3 82 M, Maček: Mlkro bolometer (II: Meritve karakteristik^^ In primerjava z računi) Informacije MIDEM 28(1998)2, str, 81-89 3, IZRAČUNANE KARAKTERISTIKE eOLOMETROV Račun karakteristik bolometra s parametri, določenimi v tabeli 1, je temeljil na teoretičnih predpostavkah, podanih v prvem delu /5/ in so zajeti v tabei! 2. Izračunana toplotna konduktanca Izračunana za navedeno strukturo znaša okrog 3,7 |aW/K v vakuumu in 35 |iW/K na zraku, V vakuumu je prevladujoče prevajanje po nosilni strukturi, medtem ko je na zraku odločilno prevajanje skozi 2 jim režo proti substratu, Prevajanje toplote v vakuumu je namreč mnogo slabše kot pri zračnem tlaku (glej naprimer referenco /9/), Na sliki 2 je prikazana odvisnost izračunanega karakterističnega toka lo^VG/|po|Ro ((enačba (5) v ref, /5/) od plastne upornosti, oziroma upornosti bolometra. Prikazani sta karakteristika za vakuum, nižji od 10*3 mbar, in za zračni tlak. Vidimo, da je karakteristični tok l0 za bolometer v vakuumu približno trikrat manjši kot za Isti bolometer na atmosferskem tlaku, kar potrjujejo tudi rezultati meritev (krogci), Izračunana frekvenca (2rrvo ™ 1/to). s katero lahko bolometri učinkovito zaznavajo spremembe svetlobnega fluksa, znaša v vakuumu okrog 68 Hz in na zraku 652 Hz, Tabela 2 Primerjava Izračunanih In Izmerjenih parametrov za tri primerjane pollslllcljeve bolometre. Bolometer z upornostjo 16,5 ku. Ima povezave z nominalno širino 2 \xm. imtoUet» «»tai vci Ito»* tešita enote upornos! senzorja 185 185 16.5 22.5 22.5 kfl rtom. itifiaa.parasav r«, 5 5 ') 5 5 ¡an pritisk p 0,001 1000 0.001 0,001 1000 mbnr - toplotna tonduktanca G M7M 3',54E-J 1.76B4 3.67E-6 M4M WK4 0) temp, koeficient spr. upornosti pri 25°C rc. »1.8% -1,8% -1.2% -1,3% -1.3% K4 TJ karakteristični - tok m senzor i. 34.1E-6 104M 95E4 114E-6 354E4 A 0 karakteristična el, moč p. 208E-6 2,01 E-3 149E-6 292E-6 3E-3 W S term časovna konstanta t 2J6B-3 244E-6 4,91E-3 2.3611-3 244E4 s kritična frekvenca skeniranja v 68 652 32 68 652 Hz šum zaradi temp. fluktuacijVt pri I-Io V, v. 967E-9 3.00E-6 1S6E-9 311E-9 936E-9 v odzivnost pri I " v=v„ S®/., v. 3,690 1,188 796 1,175 362 VW1 detddvnost upoštevaje Vt Dt 1.9E+7 2.0E+6 2.SE+7 1.9E+7 1.9E-H» omHz'V temp. razlika ekv šumu NETDt v. 0.33 3,2 0,2 0,33 3,2 K upornost senajrjft-povp- merit» 194 188 16.5 24 23 kfl'. upornost senzorja-std, dev meritev Rsim&e 14 7 0.6 0.7 0,9 kQ toplotna konduktanca-povp. meritev G 3.80M 5.08E.5 4.05E-6 8.83E-J wr1 > toplotna konduktunoa-std, dev, meritev G 781M 14E-6 4I>6 977B-9 12E* WK-1 « karakteristični t«* m wxaw*fwvpi-iMritev t. 33AM 124M 123E-6 113E-6 348M A karakteristični tok za %mm-%td, dev, meritev t. 4.58E-6 1S.7E-6 1SB4 15E-6 69E-6 A - kriMSi» detoirii«» «oS-j»^. fflgftev P* 316E-6 2.88M 2301^6 323E-6 7.04 E-3 W « šum pri M» v=v0 V, v. 5.85E-6 4.3&C 888E-9 728E-9 647E-9 VHzw s ocHvnod pri I««lo, S@le, v. 1,92« / < v. 1.6E+6 2.9E+5 5.2E+6 4.9E*6 3.7E+5 cmHz"V' OTti&mfrAvi-ncastoiinmja »• 93 941 37 53 890 »5 temp. razlika dev. Sumu NBTD va 4,1 / 1,2 1,3 16.9 K Run M Slika 2, Izračunana odvisnost karakterističnega toka lo v odvisnosti od upornosti pol/silicijevega bolometra RSdn na atmosferskem tlaku in v vakuumu, Kvadratki predstavljajo meritve v vakuumu In na zračnem tlaku, 83 Informacije MIDEM 28(1998)2, str, 81-89 M, Maček: Mlkro bolometer (II: Meritve karakteristik^^ In primerjava z računi) Odzivnost S (enačba (9) v ref. /5/) je sorazmerna padcu napetosti na uporu IR), temperaturnemu koeficientu [3 in obratno-sorazmerna konduktanci G. Za bolometre z enako geometrijo, kar pomeni enako konduktanco G, je odzivnost v prvem približku sorazmerna z R1/2, saj je temperaturni koeficient (3 sorazmerno šibko (p In (p), /4/) odvisen od specifične upornosti polisilicija. Odvisnost S od upornosti pri obremenitvi bolometra s karakterističnim tokom l0 v vakuumu ali na zraku in pri frekvenci v0 nam prikazuje slika 3. Vidimo, da je odzivnost S odvisna od upornosti z eksponentom 0.56. Odstopanje od eksponenta 0.5 je posledica odvisnosti (3 od specifične upornosti polisilicija. Slika 3. Izračunana odvisnost odzivnosti S pri obremenitvi s karakterističnim tokom l0 in frekvenci v0 od upornosti polisilicijevega bolometra na atmosferskem tlaku in v vakuumu. Krogci predstavljajo meritve pri zračnem tlaku in v vakuumu. Mejna detektivnost bolometra Dj (določena s Johnso-novim šumom) (enačba (13) v ref. /5/) je sorazmerna s tokom skozi bolometer, temperaturnim koeficientom in obratno sorazmerna s konduktanco. V primeru, ko obremenimo bolometer s karakterističnim tokom l0, bo detektivnost za različne upornosti polisilicija sorazmerna s temperaturnim koeficientom (3. Kakor s specifično upornostjo narašča |3, narašča tudi mejna detektivnost. Za bolometer, zaprt v vakuumu, znaša teoretična mejna detektivnost od 3.5x108 (Rsen = 22.5 kO) do 4x108 cmHz1/2/W (Rsen = 185 kQ) pri frekvenci co=1/x, (Tabela 2). Rezultati računov kažejo, da je detektivnost Dt v realnem primeru, ko je šum določen s fononskim šumom, oziroma z napetostnim šumom zaradi fluktuacij temperature (Vt = (AT2)1/2I|3R) neodvisna od upornosti bolometra in znaša okrog 1.9x107 cmHz1/2/W, kar je približno 20 krat slabše od vrednosti za Dj. V primeru, če bi bil bolometer na zraku, pa je detektivnost še za približno 10 krat manjša. Termični šum namreč narašča sorazmerno s tokom (lo G-1/2) in upornostjo (enačba (11) v ref /5[) in obratno sorazmerno s toplotno kapaciteto C"1/2. Zmanjšanje konduktance v vakuumu za približno 10 krat zniža potemtakem šum zaradi termičnih fluktuacij za 10"1/2, obenem pa poveča odzivnost S za približno isti faktor. Rezultat je približno 10 kratna razlika v detektivnosti, kar jasno sledi iz uvodoma navedenih vrednosti za toplotno konduktanco. Iz navedenih rezultatov nedvoumno izhaja, da je potrebno za doseganje optimalnih lastnosti bolometre zapreti v vakuumsko tesna ohišja in zmanjšati toplotno konduktanco povezav in toplotno kapaciteto celotne strukture na vrednost, ki bo še zagotavljala dovoljšno frekvenco. 4. IZMERJENE KARAKTERISTIKE BOLOMETRA 4.1 Meritve absorbcije IR svetlobe Meritve absorbcije IR svetlobe v pasu od 8-13 |im so bile opravljene na Kemijskem institutu /7/. Primer meritve absorbcijskih lastnosti uporabljene pasivacije (oznaka M4) sestoječe iz 500 nm plazemskega SiOxNy z lomnim količnikom N2 = 1.64, 500 nm SixNy z N2 = 2.06 in 100 nm SixNy z N2 = 2.2 je prikazan na sliki 4. Rezultati so podani v poljubnih enotah in so primerni le za primerjavo med raznimi plastmi. Iz izmerjene krivulje vidimo, da uporabljeni absorber maksimalno absorbira pri X = 11.5 mm, dočim mu absorbanca upada proti nižjim valovnim dolžinam. Računi narejeni v FOTONI /8/ kažejo, da je spektralna odvisnost absorbcije zelo odvisna od debeline plasti SiN. Slika 4. Absorbanca v IR območju za različne plasti pasivacije na osnovi plazemskega Si nitrida. N2(M1, 500 nm) = 1.64, Nz(M2, 500 nm) = 2,06, Nz(M3, 100 nm) = 2.20. Plast M4 se sestoji iz 500 nm plast M1, 500 nm M2 in 100 nm M3. 4.2 Meritve električnih parametrov Upornost in temperatura bolometra pod konstantno tokovno obremenitvijo se spreminjata, kakor to napovedujeta enačbi (3, 4) v ref. /5/. Če bi bil temperaturni koeficient p konstanten in negativen, bi bila upornost pri obremenitvi s kritičnim tokom I = l0 samo še 50%. V resnici je upornost pri obremenitvi s karakterističnim 84 M, Maček: Mlkro bolometer (II: Meritve karakteristik^^ In primerjava z računi) Informacije MIDEM 28(1998)2, str, 81-89 tokom zaradi temperaturne odvisnosti koeficienta (5=P(T) od 50-60% začetne vrednosti, odvisno od upornosti poiisilicija. Iz meritve karakteristike R = R(l) lahko določimo karakteristični tok l0 in konduktanco G = pl02Ro iz enačb (4,5) v ref. /5/. Odvisnost upornosti od toka v vakuumu in na atmosferskem tlaku je prikazana na sliki 5a. Izmerjene odvisnosti upornosti se dobro ujemajo z izračunano, ki jo predstavlja prekinjena črta (upošteva temperaturno odvisnost za koeficient upornosti). Polna črta pa predstavlja krivuljo najboljšega ujemanja za meritev v vakuumu ob predpostavki, da je (3 konstanten. Iz nje se določi kritični tok l0, in konduktanca G, katerih povprečne vrednosti so prikazane tudi v tabeli 2. Vidimo, da je doseženo dokaj dobro ujemanje med izračunanima in izmerjenimima karateristikama l0 in G. Na osnovi meritve odvisnosti upornosti od toka lahko sklepamo tudi na to, kakšna bo odvisnost odzivnosti S na tok skozi bolometer. Odzivnost (električna) bo i/I» 100,000 10,000 2- 1,000 100 0 0.25 0.5 0.75 1 l/lo Slika 5. Primerjava izmerjene in izračunane odvisnosti upora od toka skozi bolometer. a) Odvisnost upornosti R/R(l=0) in b) odvisnost spremembe padca napetosti (dVs/dP) = IdR/dPe i « Sod toka skozi bolometer. Merjeno v dušiku pri tlaku 1.000 mbar in v vakuumu nižjim od 10"3 mbar. sorazmerna padcu napetosti dVs/dP=ldR/d(l2R)i=konst pri konstantnem toku in je prikazana na sliki 5b. Ta količina je sorazmerna odzivnosti S bolometra na vpadlo in absorbirano IR svetlobo (Sir = eSerf(co)). Odzivnost na IR svetlobo pa bo približno 2-3 krat manjša zaradi nepopolne absorbcije in zaradi frekvenčne odvisnosti. Prav tako lahko sklepamo, da morajo biti bolo-metri obremenjeni s tokom, ki je vsaj 20% karakterističnega toka lo, če ne želimo prevelike odvisnosti S od toka. 4.3 Meritve šuma boiometrov Šum smo izmerili na večih bolometrih z upornostmi R = 16.5, 22.5 in 195 kQ na zračnem tlaku in v vakuumu, v odvisnosti od toka in pri različnih frekvencah. Merili smo s spektralnim analizatorjem, za referenco pa smo izmerili tudi šum na standardnem uporu z enako nominalno upornostjo na sobni temperaturi. Ker je bil šum v/v„ v/v, Slika 6. Odvisnost šuma od frekvence, a) na zraku, b) v vakuumu. Šum je podan kot razmerje med merjenim šumom Vn in izračunanim Johnsonovim šumom V\, frekvenca pa kot razmerje med frekvenco meritve v in frekvenco v0 podano po en. (9) v ref /5/ na uporu z upornostjo 22.5 kQ. 85 M. Maček: Mlkro bolometer Informacije MIDEM 58(1998)2, str. 81-89__(II: Meritve karaxteristiK In primerjava z računi) izmerjen na uporu pod vsemi pogoji skorajda enak izračunanemu šumu, lahko smatramo te meritve kot zelo zanesljive, Odvisnost šuma (razmerje med šumom zaradi termičnih fluktuacij Vt In Izračunanim Johnsonovim šumom Vj) od frekvence (razmerje med frekvenco v, pri kateri merimo šum in kritično frekvenco vo - G/G (enačba (7) v ref. /5/) je prikazana na slikah 6a (zračni tlak) in 6b (vakuum). Takoj opazimo, da ima šum v obeh senzorjih enako frekvenčno odvisnost («v"0,45), vendar bistveno različen nivo, Odvisnost razmerja šuma (Vn A/j) od normaliziranega toka (l/lo) je prikazana na sliki 7 a in b za dva različna bolometra z nominalno upornostjo 22,5 kQ, Opazimo, da je šum sorazmeren s tokom do toka približno Q,3*b, nakar pride do nekakšnega zasičenja in, da pri višjih tokovih (l/lo > 1) celo rahlo upadata, verjetno zaradi R«, • 21.0k0hm, to * 131 uA, vaHuum Bo s 23,4 kOhm, U m §M uA» zrak 1,000 Slika 7, Odvisnost šuma od toka pri različnih frekvencah na zraku (a) in v vakuumu (b), Šum je podan kot razmerje med mer/enim šumom Vn in izračunanim Johnsonovim šumom Vj; tok pa kot razmerje med tokom I in Izmerjenim karakterističnim tokom /o. Dodana je tudi izmerjena relativna sprememba upornosti dvigovanja temperature in s tem povezega znižanja upornosti, Podobna meritev za bolometer z upornostjo 195 kO je prikazana tudi na sliki 8, Primerjava rel, šumov bolorrretrov in uporov 1,000 100 v =75 Hz, V„/V| » k I v •i« ar, bol» laaohmsm «r, M - 3,3 ©hmem «r, 7S<37Sum = 4,3Otimom Af. 7S«375um - 0,21 Oftm«m -r 5xl7Sum » 0.21 Ohmorn $ r. 7S<37Sum ■ o ® Ohm cm XÄßffll b1^ ! o 0%. I o ! A 1 o i O A"" ! * 4 A o * s o v 10 tok [uA] 100 1000 Slika 8, Razmerje med tokovnim 1/f šumom in Johnsonovim šumom V\/V\ za bolometre (50x50 pm) In upore (5x375 in 75x375 pm) z različnimi specifičnimi upornostmi v razponu od 0,05 - 19,6 Qcm merjeno pri 75 Hz. Navpična puščica označuje kritični tok m boiometer, 12 « G/flp. Medtem ko je na zraku za senzor z upornostjo R=195 kQ (Vj s 4@ nV/Hz1/2), šum pri frekvenci 100 Hz in obremenitvi s tokom lo okrog 285 krat večji od Vj, je za senzor z R-22,5 kO (Vj => 21 nV/Hz1/a) to razmerje samo še 57. Pri meritvah v vakuumu je razmerje med šumoma nekoliko nižje in znaša 140, oziroma 30, Ker kaže šum Izrazito frekvenčno odvisnost, to ne more biti fononski šum, ki je beli šum, Prav tako je velikost izmerjenega šuma mnogo večja od vrednosti za termični šum, Na osnovi enačbe (11) v ref, /5/ bi moralo biti razmerje med šumoma okrog 51 - 72 na zraku, oziroma okrog 16»23 v vakuumu, Medtem, ko boiometer z upornostjo 22,5 kO kaže 2 krat višji nivo šuma kot račun, kaže visokou-porovni za 4-8 kratno odstopanje nivoja šuma od izračunanega, Na osnovi navedenih dejstev in meritve šuma na polisi-licijevih uporih dolžine 375x75 oziroma 5 pm, ki kažejo podobno frekvenčno in tokovno odvisnost (slika 8) sklepamo, da gre za tokovni 1/f šum, za katerega velja naslednja zveza; Vi«lv~1/2 /10/, Iz rezultatov, prikazanih na sliki 8, sledi, da je kritični tok, pri katerem je tokovni šum enak Johnsonovemu, povezan s specifično upornostjo p z naslednjo zvezo: lk ™ 2,8x10'0 p[ßcm]- '95, Rezultati kažejo, da Je tokovni šum neodvisen od geometrije (L/W »1-75) in debeline (meritve v teku), Zato ga lahko znižamo na željeno vrednost z zmanjšanjem debeline detektorske plasti pod 100 nm, In/ali s povečanjem razmerja L/W iz 1 za dosedanjo strukturo na več kot 10, Računi kažejo, da doseže tokovni šum nivo fononskega šuma pri L/W s 30 In 50 nm debeli plasti polisilicija z p s j Qcm (ß « -1.1%) in ob predpostavki, da je G s 1 mW/K In frekvenca skeniranja 35 Hz, 86 M, Maček; Mikro bolometer (li: Meritve karakteristik in primešava z iacuni) Informaolje MIDEM 28(1998)2, str, 81-89 4.4 Odzivnost in detektivnost m IR svetlobo od 8-13 /j m Odzivnost in detektivnost smo merili v laboratoriju FOTONE, pri čemer smo uporabili kallbrirani izvor IR svetlobe s konstantno temperaturo 500 K, nekaj začetnih meritev pa je bilo narejenih tudi pri temperaturi 873 K. Gostota energijskega toka Ppk v razponu valovnih dolžin od 8-13 ¡um na mestu detektorja (R = 3,0 cm) je pri teh pogojih 48 VVm-2 /11 /, Vse vrednosti za odzivnost (Spk) in detektivnost (Dpk) bodo izračunane za ta del energijskega spektra in ne za celotno izsevano energijo. Med izvorom in detektorjem je bil "čoper" s premerom zaslonke 1,3 cm in maksimalno frekvenco 1,000 Hz. Meritev smo zasledovali na spektralnem analizatorju, Prve meritv© so bil® opravljene z uporabo nizkošurn-nega transimpedančnega ojačevalnika z ojačenjem A=50, ki je bil skupaj z detektorjem In napajalnimi baterijami vgrajen v posebno zaščitno ohišje, Zaradi zahtev po merjenju z različnimi tokovnimi obremenitvami smo morali vsakokrat zamenjati baterije, prilagoditi upor na željeno vrednost (R « f(l)), tako, da izmerjene vrednosti za signal in šum niso bile več absolutno zanesljive (izvedena detektivnost ni odvisna od faktorja ojačanja), poleg tega pa se je izkazalo, da so merjene napetosti dovolj velike, tako, da smo kasneje napajali detektor direktno preko napetostnega napajalnika in predupora z upornostjo vsaj 5x večjo od upora bolometra, Signal smo direktno odčitali na spektralnem analizatorju. Na ta način dobljene vrednosti so absolutno natančne, Večina meritev je bila narejena v vakuumu (kovinsko ohišje g transparentnim okencem povezano na rotacijsko črpalo), le nekaj preliminarnih meritev j® bilo opravljenih pri zračnem tlaku. Rezultati (povprečja več meritev) za tri bolometr® so prikazani v tabeli 2, v kateri so za primerjavo podani tudi rezultati simulacij, Odvisnost izmerjenega signala Vs in šuma Vn od norma-liziranega toka i/lo za senzor z upornostjo 16,5 kQ (lo=120 ¡jA), ki je imel nosilne povezave dimenzije okrog 2 /L/m, je prikazana na sliki 9a, medtem ko je na sliki 9b prikazana odvisnost izpeljanih karakteristik bolome- am 4&6 18.« fiA, v » 34 Hi, T * «00 K R«16.e kQ, VI»" 0.2, T« «00 K 3i-S E ai ip 0E+0 R " 16,6 kct, !„«12® jaA, v s Hi, T B S00 K 0.25 0,8 0, 0,75 1 1 l/l. 28 1,8 Slika 9, a) Odvisnost Izmerjenega signala V§ (Tizv 35 500K) in šuma Vn od toka I/Iq, h) Odvisnost odzivnosti Spk In detektivnost! Dpk od normallzlranega toka l/lo * W R*1«.0 k0, VI,«0.2, T" 230 300 v W Slika 10, a) Odvisnost ¡zmerjenega signala l/8 (Tj/m « §0QK) In šuma Vn od frekvence "čoperja", b) Odvisnost odzivnosti Spk in detektivnost! Dpk od frekvence v 87 Informacije MIDEM 28(1998)2, str, 81-89 M, Maček: Mlkro bolometer (II: Meritve karakteristik^^ In primerjava z računi) tra SPk=Vs/Ppk in detektivnosti DPk=Ad1/2(VS/Vn)/Ppk od normaliziranega toka l/l0. Meritev je bila opravljena pri temperaturi izvora 500 K in frekvenci "čoperja" 34 Hz. Na sliki 10 je prikazana odvisnost izmerjenih količin Vs, Vn (a) in Spk in DPkv(b) od frekvence pri obremenitvi s tokom l/lo = 0.2. Šum izkazuje znano 1/f odvisnost (poglavje 4.3), medtem ko sledi signal (in seveda tudi odzivnost S) frekvenčni odvisnosti, napovedani v enačbi (9) (Vs, Spk °c (1 + (cox)2)"1/2) prvega dela tega prispevka /5/. Detektivnost (°c Vs/Vn) doseže maskimum blizu frekvence v0 = 36 Hz (- 1/(2m)}, ker pri nižjih frekvencah 1 /f šum močno naraste. S povečevanjem frekvence pa detektivnost zlagoma pada, približno s v"1/2. Podobne rezultate smo izmerili tudi za ostala dva bolometra, le da so meritve bile opravljene pri temperaturi izvora 873 K. Njihove frekvence v0 so se sukale od 53 do 115 Hz za meritve v vakuumu, medtem ko so bile na zraku izmerjene od 800-1000 Hz, kar se dokaj dobro ujema z napovedmi. 5. DISKUSIJA Bolometri, narejeni iz polisilicija s plastno upornostjo od 16-200 kO/D, absorbcijsko plastjo iz plasti SixONy in z geometrijo, opisano v poglavju 2.1, imajo odzivnost določeno pri karakterističnem toku l=l0 in pri frekvenci v=v0 od 700 do 2000 V/W, odvisno od upornosti in toplotne konduktance strukture (tabela 2), kar se dokaj dobro ujema z napovedanimi rezultati, še posebej pri bolometru z upornostjo 16.5 kO (Smer = 919 V/W, Srač = 796 V/W). Ta meritev je bila opravljena z direktno meritvijo brez ojačevalnika. Ostala dva rezultata (22.5 in 185 k£2) nekoliko odstopata navzdol, verjetno zaradi težav pri prilagoditvi transimpendančnega ojačevalnika tokovno odvisnemu uporu. V tabeli tudi vidimo, da se izmerjene frekvence mikro-bolometrov (v0 = (1/27t)G/C) dobro ujemajo z napovedanimi, in to v velikem razponu konduktanc, kar pomeni, da so izračunane vrednosti za G in C dobro zadete. V tabeli 2 prav tako opazimo, da se izmerjene vrednosti karakterističnega toka l0 dobro ujemajo z napovedanimi. Odzivnost je obratno sorazmerna karakterističnemu toku l0, kar pomeni, da bi se morali tudi rezultati IR meritev ujemati vsaj v okviru merske napake, saj med efektivnimi absorbancami posameznih bolometrov ni pričakovati velikih razlik. Po drugi strani pa opazimo, daje izmerjena detektivnost mnogo manjša od pričakovane, zaradi prevelikega tokovnega 1/f šuma. Izmerjene vrednosti DPk so bile v razponu od 1.6x106 (R= 185 kQ) do 5x106 cmHz1/2V\T1, (R = 16.5, 22.5 kil), in padajo z rastočo upornostjo, kar je v nasprotju s pričakovanji, saj temepraturni koeficient ß narašča. Medtem ko odzivnost S narašča R1/2, pa tokovni šum narašča sorazmerno z upornostjo. Podobno se obnaša tudi najmanjša temperaturna razlika, NETD, ki jo zazna bolometer. Ocenimo jo na okrog 1 K/Hz1/2, (bolometer z R = 16.5 kQ), kar je seveda mnogo več od meje, ki jo postavlja Johnsonov šum, NETDi = 0.02 K/Hz1^. Ultimativna meja detektivnosti za navedene tri polisilici-jeve bolometre (upoštevamo samo Johnsonov šum) Dj=A1/2S/Vj znaša na osnovi izmerjene odzivnosti S in šuma Vj okrog 1.8-2.8x108 cmHz1/2W"1. Če hočemo torej dobiti bolometre, katerih detektivnost se bo približevala vrednosti 108 cmHz1/2W"1, je torej nujno potrebno znižati nivo tokovnega šuma, kar lahko storimo s tem, da ob enaki specifični upornosti polisilicija povečamo upor bodisi tako, da povečamo razmerje L/W iz 1 na več kot 10 ali/in uporabimo vsaj 10 krat tanjšo plast polisilicija. Računi kažejo, da doseže tokovni šum nivo šuma zaradi termičnih (Vj = Vt = 3Vj) fluktuacij pri razmerju L/W = 30 in 50 nm debeli plasti polisilicija s specifično upornostjo p = 1 Qcm (p = -1.1%), ter ob predpostavki, da je toplotna konduktanca G = 1 /jW/K (W = 1 fjm). Pri omenjenih parametrih bi imel bolometer dimenzije 50x50 /jm2 in naslednje karakteristike - coo = 35 Hz - S = 10.500 VA/V - Vn/Vj = 2.9 - D = 5.8x107cmHz1/2W"1 Bolometer z omenjenimi karakteristrikami bi bil enakovreden dosedaj najboljšim bolometrom delujočim na sobni temepraturi narejenim na osnovi VOx, katerih detektivnost se približuje 1x108 cmHz1/2W"1 /1/. 6. ZAKLJUČEK Izdelani so bili polisilicijevi mikrobolometri v tehniki površinske mikroobdelave v razponu upornosti od 20-200 kO in toplotno konduktanco okrog 3 /jW/K. Rezultati, prikazani v tabeli 2 kažejo, da se njihove termične karakteristike (karakteristični tok l0, toplotna konduktanca G in kritična frekvenca v o) dokaj dobro ujemajo z izračunanimi. Nekoliko slabše je ujemanje pri odzivnosti S na IR svetlobo in predvsem pri detektivnosti D, kar je deloma posledica še nedorečenih meritev, predvsem pa posledica prevelikega tokovnega šuma 1 /f, katerega bo potrebno v prihodnje zmanjšati s povečanjem upornosti bolometra ob nespremenjeni specifični upornosti polisilicija in/ali povečati kritični tok pri katerem se pojavi neželeni tokovni 1/f šum, za kar so v teku dodatni poskusi. 7. ZAHVALA Zahvaljujem se osebju laboratorija za mikroelektroniko, ki so pomagali pri izdelavi bolometrov in meritvah na FE. Posebna zahvala gre g. Hozjanu in g. Čeponu v Fotoni, ki sta mi omogočila meritve na kalibriranem izvoru IR svetlobe in izdelala ustrezen predojačevalec. Prav tako sem dolžan zahvalo g. A. Demšarju iz FOTONE za koristne računske napovedi absorbance IR svetlobe v predvideni strukturi. Nalogo je financiralo Ministrstvo za znanost Republike Slovenije (L2-7744-781), za kar se mu najlepše zahvaljujem. 88 M, Maček: Mlkro bolometer (II: Meritve karakteristik^^ In primerjava z računi) Informacije MIDEM 28(1998)2, str, 81-89 8. LITERATURA /1/ P.W. Kruse, Uncooled IR Focal Plane Arrays, AeroSense 1996, Los Angeles 10.Apr. 1998 121 J.M. Bustillo, G.K. Fedder, C.T.-C. Nguyen, R.T. Howe, Process tecnology for modular integration of CMOS and polysili-con microstructures, Microsystem Tecnology 1, 30-41 (1994) /3/ J. Wauters, doped silicon creates new bolometer material, Laser Focus World, 145-149, Nov. 1997 Dr. Marijan Maček, dipl. ing. Fakulteta za Elektrotehniko, Laboratorij za mikroelektroniko University of Ljubljana, Faculty of Electrical Engineering, Laboratory for Microelectronics S1 1000 Ljubljana, Tržaška c. 25 E-mail: marijan.macek@fe.uni-lj.si Prispelo (Arrived): 22.5.1998 Sprejeto (Accepted): 7.7.1998 89 /4/ M. Macek, Characterization of Thin Polysilicon films for Mi-cromachining Applications, 33rd Int. confference on Microelectronics, devices and materials, Gozd Martuljk, 24.-26. Setp 1997, 255-260 /5/ M. Maček, Polisilicijev bolometer I, teoretične osnove, Informacije MIDEM 28(1998)2, pp 77-80 /61 LESIT Final Report 1995, Modul 4 Microsensor Technology (Baltes) /7/ M. Klajnšek-Gunde, Privatno sporočilo o meritvah absorbcije IR svetlobe na Kemijskem inštitutu, 1998 /8/ A. Demšar, privatno sporočilo /9/ S. Južnič, V. Nemanič, Termovka: odkritje in razvoj vakuumske izolacije, Vakuumist, 16/31, 20-21 (1996) /10/ H.C. Wright, Elementary Semoconductor Physics, Van Nos-trand Reinhold Co., NY, 1979 Informaciji MIDËM 28(1998)2,Ljubljana UDK621,3,'(63+54 + 621 +66), ISSNO362-0O4S MICRO-STRUCTURED SENSORS AND ACTUATORS: AN OVERVIEW Alexander Lechner CTR Carinthian Tech Research GmbHs Vlllach, Austria Keywords: mlcro-struoiured sensors, mlcrosensors, micro-structured activators, mlcroactuators, MST, MIcroSysTems, micro system engineering, MST technologies, MIoroSysTem technologies, microelectronics, micro mechanics, micro optics, mlcrostruetures, development overview, development trends, semiconductors, micro physics, MM, MleroMachlnlng, extreme miniaturization Abstract; Micro techniques offer lots of chances for the realisation of new micro sensors and micro actuators, Combinations of microelectronics, micro mechanics and micro optics are used with auxiliary techniques, which can generally be called micro system engineering (MST), The chances lie In new degrees of freedom by utilisation of "micro-physical" effects, In the use of already established production technologies In combination with new materials as well as in the maintenance of the economical microelectronic finishing technique oriented towards mass production ("batch processing"). Promising operational principles as well as substantial development trends are pointed out and discussed on the basis of recently published development results regarding mlcrosensors arid micro actuators, Mikrostrukturirani senzorji in aktuatorji - pregled Ključne besed®: senzorji mlkrostrukturlrani, mikrosenzorjl, aktlvatorji mlkrostrukturlrani, mlkroaktivatorjl, MST mlkroslsteml, MST Inženiring mikrosistemski, MST tehnologije mikroslstemske, mlkroelektronlka, mikromehanlka, mikrooptika, mikrostrukture, pregled razvoja, trendi razvoja, polprevodniki, mlkroflzlka, MM obdelava najfinejša, mlnlaturlzaclja skrajna Povzetek: Mlkro tehnike ponujajo veliko priložnosti za izvedbo novih mlkro senzorjev In mikro aktuatorjev, Kombinacijo mikroeiektronlke, mikromehanlke In mlkooptlke ob uporabi nekaterih pomožnih tehnik po navadi v splošnem poimenujemo kar mikro sistemski inženiring (MST), Priložnost izrabe leži v novih prostostnlh stopnjah pri uporabi "mikro-flzikalnih" efektov, v uporabi že utečenih proizvodnih tehnologij v kombinaciji z novimi materiali, kakor tudi v Izrabi ekonomičnih mlkroelekfronsklh tehnologij, ki so usmerjene v masovno proizvodnjo (npr, "šaržna proizvodnja"). Na osnovi pred kratkim objavljenih razvojnih rezultatov v prispevku obravnavam in podajam pregled osnov delovanja In razvojnih smeri tehnologij izdelave mlkrosenzorjev In mlkroaktuatorjev, Introduction Because of its fine structure technology CMOS silicon technology has become a highly developed Industrial mass production technology, whereby the structure widths keep being reduced with unchanged speed, while the integration densities are increased accordingly. The main products of this Industry are high-complex digital circuits such as microprocessors, memory or telecommunications ICs. Communication and interaction with the usually analog external world requires, however, sensors, actuators or other Interface elements at the periphery, As a result of the Increasing compact digital electronics the miniaturization of these peripheral elements In the systems becomes more and more significant, For several years there have been made considerable efforts to use the possibilities and experiences of the fine structure and silicon technology also for micro-structured sensors, actuators or further, up to now not inte- grate components such as resonators or quartzes for innovative system solutions. In the Federal Republic of Germany e.g. in 1994 the Federal Ministry for science, research and technology promoted a micro system engineering program/1 / and since then approx, 3 billion ATS of public funds have been invested. First industrial applications of MST can be found primarily in automotive electronics (e.g., acceleration sensors), as well as in the gas analysis by means of IR spectroscopy, Micro system engineering (MST) as generic term of these efforts will therefore become as significant for innovative, economical system solutions in the next years as the CMOS silicon technique for microelectronics, The maturity degree of the MST is, of course, by far smaller, the application possibilities and - principles are clearly more varied, so that the technological beginnings, the used materials and constructions and consequently the entire experimentation field are substantially more varied than in microelectronics. In addition, this situation offers also smaller enterprises the chance to assert themselves In this promising research area. .......S¡WñUt& fhtMlvltrung mm i>m Fig, 1: Micro-structured pressure sensor (source: Siemens) Surface Micromachined BICIWOS Capacitive Sensor Array Poly- Si Membrane Sens, range: - 1000 mbar 90 A. Lechner: Micro-structured Sensors and Actuators: an Overview informacije MIDEM 28(1998)2, str. 90-94 The article tries to point out the potentials and trends in the area of the micro system engineering. Recent results presented at the end of January '98 at the international conference MEMS '98 (Micro-Electro-Mechanical Systems) in Heidelberg were included. Also present semiconductor technologies must be further developed according to the requirements of the MST elements. Here the following main directions can be recognised: • Use of new materials (glass, Ni, polymers...) • Technologies for geometry with extreme height/width conditions.. 0 New MST specific processes - micromachining - processes (surface and bulk - photo lithography (high sharpness depth, 3D-lithography / 2,3 /, x-ray lithography) - etching processes for MM (corrosions on the back, structured by structures, free corrode) - galvanic figuration multi layer connecting procedure (glass, Si) /4, 5/ integrated "High q" elements, such as resonators (replacement SAW, quartz) micro valves, micro pumps (medical technology, chemical analysis/synthesis procedures) miniaturised gas analysis devices for cars and air chemical micro laboratories micro-surgical tools micromechanical machines with 3D-MST form elements, see illustration 2. MST uses "micro physics" Naturally, the same physical laws as for conventional elements apply to MST. However physical effects in amazing new developments and applications can be used through the miniaturised designs with their smaller dimensions and masses. Here are the essential differences and the new possibilities: ® New field/force balance and/or other forces dominates: ¡¡■§■111 H ■■mai ^^^^mmsSm Fig. 2: Microturbine, manufactured with 3D-stereoli~ thography (source: EPFL, Switzerland, /2/) Important applications of MST elements The search for new solutions through MST, concentrated naturally on miniaturization "Bottlenecks" regarding important modern systems like e.g. ink jet printers or airbags. From this new solutions have been developed for: • Integrated acceleration sensors and pressure sensors, see illustration 1. • Angle rate sensors for driving dynamics regulations of cars (keyword "moose - test") • Micro nozzle systems for ink jet printers Due to the research results already obtained today, good chances exist that in the next years, with the MST in the following areas new system approaches will become possible: • Integrated, multidimensional angle rate sensors - Coherence and adhesive power gain significance, gravitation loses. - Electrostatic fields win, electromagnetic loose. - Surface tension of liquids, capillary action gains importance. - Tunnelling currents, atomic forces can be used purposefully (e.g.. AFM) /6, 7/. • electro-thermal conditions: micro heating elements with time constants <1 ms • flexible behaviour of normally "stare" materials (springs made of Si, glass) • flow attitude of gases, liquids All these effects are used in the micro system technologies and/or bred through technological measures and through a specific design of the elements in order to receive new economical system solutions. In the following the MST technologies will be dealt with in detail: metal nt- polysilicon diaphragm / silicon nitride silicon oxido Fig. 3: Structure of a MST microphone in combined surface and Bulk Micromachining technology (Source: University of Michigan, Ann Arbor/14/) 91 informacije MIDEM 28(1998)2, str. 90-94 A. Lechner: Micro-structured Sensors and Actuators: an Overview MST Technologies and Materials Surface and Bulk Micromachining (MM) technologies are a common extension of the silicon technology on MST elements. Particularly the surface MM is very well compatible with the IC technologies and therefore extremely suitable for smart sensors and actuators. Surface MM and Bulk MM can be partly used in a combined way, as shown in fig. 3. Bulk MM means that parts of the SI substrate are etched away and/or free etched. The etching in general takes place from the back. Usually KOH or TMAH is used for this purpose, which have anisotropic etching characteristics. The issue of the etching stop is essential in order to achieve high manufacturing security. For this purpose pre-polarised pn-junctions (electro-chemical etching stops) or also Si02 layers can be used. TMAH as etching stops is of particular advantage with Si02. Surface MM means the production of micromechanical structures at the Si surface. Several kinds of microsen-sors (acceleration/pressure and gyroscope sensors) can be realised by structured Poly SI layers on Si circuits. The used Poly Si layer thickness amounts to between 2 and 7 |im. The structures are usually manufactured and free-etched with plasma etching technique (RIE: reactive ion etching). Height/width conditions to 3.5 can be realised thereby. Thin structures permit the flexible suspension of Pofy Si structures, which are usually electrostatically moved and/or put into oscillation. For many kinds of MST elements it is necessary to realise larger heights and/or height/width conditions as well as to use further materials such as Ni or plastic polymers. For this purpose a special manufacturing method /8/ called "LIGA" was developed at the Research Centre Karlsruhe in the 80's. It is based on a Roentgen depth lithography, which permits 1mm high structures with accurately perpendicular walls under the application of parallel synchrotone radiation, as well as on a galvanic process for the placing of thick metals (Ni, Au, Cu) and on a plastic casting technique. LIGA allows the precise production of microstructures high over 1mm with height/width conditions up to 500. LIGA is therefore a particularly suitable, in many varieties world wide applied process regarding MST elements for electrostatically steered lateral microsensors of highest quality as well as for microactuators. In the research stage there are further processes which permit the production of genuine three-dimensional structures /2, 3/. Usually stereolithography on a UV basis is used for this purpose. Future applications are to be looked for in the field of micromechanics. As a contrast to these highly complicated structures an extremely simple technology gains importance with MST in various applications: Micro heating elements. They usually consist of thin Poly Si filaments /9, 10, 11, 15/. Applications for inkjet printheads show that with MST based heating elements time constants in the sub ms range can be achieved. So far only monolithic manufacture procedures have been described, but naturally with MST multi-layer-processes play a very large role /4/. Fig. 4: MST Turning Rate Sensor with vibrating Poly Si ring (Source: University of Michigan, Ann Arbor, /12/) MST-Examples for Application The variety of applications and realisations of micros-tructured sensors and actuators makes a general presentation impossible. Particularly promising for their economic marketing appear those MST concepts, which can be connected monolithically with integrated circuits. From this monolithic integration additional technical advantages result like e.g. fail-safe characteristic or calibration possibilities. Therefore sample applications of two of these promising, integrable realisation concepts are given: a) Vibration-/Resonance Method: Oscillation-capable structures from Poly Si, hung up at flexible Poly Si carriers and steered by reciprocal effects over electrostatic forces with fixed appropriate Poly Si plates can be applied easily on CMOS or BIMOS IC's. The same technology is already applied today in acceleration or pressure sensors or MST microphones (fig. 1). It is, however, unbelievably versatile. Gyroscopes ("Turning Rate Sensor"): Fig. 4 shows a gyroscope, a kind of "gyroscope compass" with which the rotating motion is replaced by a vibration moment. First prototypes of these sensors are already used In automotive electronics of Mercedes, in order to make the vehicles "moose test suited". Their anchor is shifted electrostatically in oscillation, separate Sens electrodes determine rotations caused by Coriolis forces with rotating motion of the sensor. The obtained resonant frequencies lie between 1 kHz and 30 kHz. /12/. "High-Q Elements": These are electromechanical elements, which are needed for tuneable filters or resonators with highest quality. Oscillationable structures, which can be manufactured in an integrable way in S-MM Technology, are also suitable for this purpose. Fig. 5 shows the principle and the realisation of a 16.5 kHz oscillator in MST technology. Even if today the performance data of SAW or quartz elements have not been reached yet, this new technology offers substantial potentials for future HF communication systems. 92 A. Lechner: Micro-structured Sensors and Actuators: an Overview informacije MIDEM 28(1998)2, str. 90-94 •Svirtch-Up" (a) (a) iöJtipüfatiü'C! (b) Fig. 5: Structure of principle (a) and realisation (b) of a 16.5 kHz CMOS ¡i-resonator (Source: University of Michigan, Ann Arbor) fro-iiC-irctor.iUtfn- • T«mj)er......XgV y'' W Ih(temp)=------ Vsub Re(tcmp) a ! * jjii tm Fig. 2: Integrated coil using one turn of metal 2 Fig. 3: Bias circuit for Hall element Ü U ro L o- R D -czzi- R ó Fig. 4: Equivalent circuit of Hall element offset voltage (first order approximation) 96 J. Trontelj: Integrated Hall Sensor Array Electronics Informacije MIDEM 28(1998)2, str. 95-101 Fig. 5: Upper trace: simulation results for 1 mV of Hall element offset voltage Lower trace: Two phase spinning results for offset voltage cancellation. Maximal contribution to offset voltage is poor clock feed through cancellation. fl Vtth ti hiv+4a Q Q invMa 0 inv44a inv4 hall current switching clock f!2, 1 ont end input switching clock f 12_ * f34. i . 4 _ 3 _ 2 . 1 . -0 . TIME 0 S00 n 111 l.S u 2 u Z.5u 3u 3.5 u 4 u 4.Su fl_ * fl. 4 -3 2 1 -0 TIME 500 n 1 u l.S u 2 u 2.S u 3 u 3.S u 4 u 4.S u fl2_ f34_ fi_. * f4_ T iL TIME 1.40S u 1.41 u 1.41S u 1.42 u 1.425 u 1.43 u 1,435 fl2_ f34_ _ * f4_ TIME 3.2S5 u 3.26 « 3.265 u 3.27 u 3.275 u 3.28 u 3.285 Fig. 7: Simulation results for non-overlapping clocking for clock feed through minimization mm inP O lout Output current -o out vb O Fig. 8: Differential amplifier - Hall element voltage to current converter 98 J. Trontelj: Integrated Hall Sensor Array Electronics Informacije MIDEM 28(1998)2, str. 95-101 Fig. 9: Simulation results for Hall element voltage to current converter input noise density Fig. 10: Close loop amplifier to generate bias current for Hall element voltage to current converter 99 Informacije MIDEM 28(1998)2, str. 95-101 J. Trontelj: Integrated Hall Sensor Array Electronics Fig. 11: Hall signal current summation and differential to single ended conversion schematic 4. HALL SENSOR SPINNING It is well known that electrical rotation for 90 degrees reduces the first order effect of Hall element asymmetry caused by various reasons. The model of such asymmetry is shown in fig. 4, where Ro represents the asymmetry. This model was used in SPICE simulatorto prove its efficiency. Fig. 5 shows the results of SPICE simulation, proving that the offset cancellation by two-phase spinning is completely efficient. Fig. 6 shows the actual spinning circuit. The selection of spinning frequency helps to minimize the 1/f amplifier noise and determines the frequency response of the systems according to sampling theorem. Very important feature of spinning is non-overlapping spinning clock for input signals as shown in fig. 7. 5. HALL SIGNAL AMPLIFIERS The following features are important for Hall signal amplifiers: • Differential voltage to current conversion to facilitate Hall array signal summation. ® Low noise. Ideally the amplifier noise should be less than Hall element noise. This is achievable only in the high frequencies where 1/f noise becomes insignificant. • Small size. This allows the use of many Hall elements in the array. • Controlled gain. Fig.8 shows the schematic diagram of the Hall signal amplifier. The simple voltage to current converter is achieved by the use of amplifier MOS transistor operating in saturation region. This schematic gives the best possible noise behavior. As shown in fig.9 the noise can drop below in the spinning clock frequency region between 300kHz and 1MHz. This noise level is just below the Hail element noise. The control of gain is achieved by amplifier bias current which is derived from a closed loop amplifier to assure the supply voltage variation, temperature independence and, process parameter variations. The amplifier bias schematic is shown in fig.10. In fig.11 current summation and current to voltage conversion is shown. The spinning clock is at the same time used to eliminate the amplifier stage offset voltage. 6. CONCLUSIONS Several projects were successfully designed using the described approach. The typical figures achieved are: Noise density: <50 nT/VHz Offset voltage: < 100 ¡jT Temperature coefficient of sensitivity: < 100 ppm/°C Temperature range: -40°C - +150°C These results are better than reported in papers using either single Hall element or other types of integrated magnetic sensors. This proves that the described design approach is very promising. 100 J. Trontelj: Integrated Hall Sensor Array Electronics Informacije MIDEM 28(1998)2, str. 95-101 /1/ J. Trontelj, A. Pevec, J. Trontelj ml., "Contactless Current Measurement with Integrated Hall Elements", Proceedings of the 33rd Int. Conference on Microelectronics, Devices and Materials, MIDEM'97, Gozd Martuljek, 165-170, 1997 /2/ J. Trontelj, L. Trontelj, "ASIC for Precise Position Measurement with Integrated Magnetic Microsensor Array", Proceedings of the European Design & Test Conference EDTC 1997, Pariz, 83-86, 1997. /3/ A. Haberll, M. Schneider, P. Malcovati, R. Castagnettl, F. Maloberti, and H. Baltes, "2D Magnetic Microsensor with On-Chip Signal Processing for Contactless Angle Measurement", 1996 IEEE Int. Solld-State Circuits Conf. (ISSCC), pp 332-333 /4/ Hall Signal Bias Generator. Patentna številka 9505314.6zdne 16.3.1995. /J.Trontelj/ 151 Hall Sensor Interconnection Circuit. Patentna številka 9505317.9 z dne 16.3.1995. /J.Trontelj/ /6/ AC Hall Current to Frequency Converter. Patentna številka 9505313.8 z dne 16.3.1995. /J.Trontelj/ /7/ Integrirano vezje magnetnega senzorja. Patentna številka 9300622. /J. Trontelj, R. Opara, A. Pleteršek/ /8/ Integrirano vezje, obsegajoče magnetne senzorje, za določanje faze krajevno periodičnega magnetnega polja. Patentna številka 97 0 0148, 1997. /J. Trontelj/ /91 Integrirano vezje, obsegajoče magnetne senzorje, za določanje faze In smeri spreminjanja faze krajevno periodičnega magnetnega polja. Patentna številka 9500275,1997. /Trontelj Janez/ prof.dr. Janez Trontelj Fakulteta za elektrotehniko Tržaška 25, 1000 Ljubljana, Slovenija E-mail: janez@kaivarija.fe. uni-lj. si Prispelo (Arrived): 14.7.1998 Sprejeto (Accepted): 28.7.1998 101 Informacije MIDEM 28(1998)2, Ljubljana UDK621,3:(53 + 54+621 +66), ISSN0352-9045 IDENTIFICATION OF PEO - M(S03CI)X (M = Li, LiAl, Ca) POLYMER ELECTROLYTES i Q O *Minka KovaC , Stane Pejovnik 1Omega d.o.o., Ljubljana, Slovenia 2National Institute of Chemistry, Ljubljana, Slovenia Keywords: organic chemistry, PEO, PolyEthylene Oxides, polymer electrolytes, solid electrolytes, amorphous electrolytes, electrolyte films, oxohalide salts, plasticisers, crystallinity, PC, propylene carbonate, DME, DiMethoxyEthane, ionic conductivity, impedance spectroscopy, vibrational spectroscopy Abstract: Preparation and electrochemical characterisation of (PEO) nM(S03CI)x (M — Li, LiAl, Ca, X — 1, 2 and 4) polymer electrolytes is reported. Variation of the polymer electrolyte conductivity with temperature is correlated to the corresponding microstructural characteristics (degree of crystallinity). In the paper also the preparation and microstructural and electrochemical characterisation of (PE0)nLiAI(S03CI)4 (n = 2 -12) polymer electrolytes containing a mixture of plasticisers, i.e. propylene carbonate (PC) and 1,2-dimethoxyethane (DME), is presented. The effect of plasticiser mixture on polymer crystallinity and its electrical conductivity at various temperatures is studied using X-ray powder diffractometer analysis, differential scanning calorimetry in combination with impedance spectroscopy. The dependence of the glass transition temperature, crystallinity and conductivity of the (PE0/PC/DME)nLiAI(S03CI)4 polymer electrolytes on salt concentration is measured and discussed. Ključne besedo: kemija organska, PEO polietilen oksidi, elektroliti polimerni, elektroliti trdni, elektroliti amorfni, plasti elektrolitske, soli oksohalidne, mehčala, kristaliničnost, PC propilen karbonat, DME dimetoksietan, prevodnost ionska, spektroskopija impedančna, spektroskopija vibracijska Povzetek: V prispevku poročamo o pripravi in karakterizaciji trdnih polimernih elektrolitov (PE0)nM(S03CI)x (M = Li, LiAl, Ca, X = 1, 2 and 4). Študirali smo, kakšen je vpliv kristaliničnosti in temperature na spremembo specifične ionske prevodnosti polimernih filmov. V delu poročamo tudi o pripravi mikrostrukturnih in elektrokemijskih lastnostih z mehčali modificiranih trdnih polimernih elektrolitov (PE0/PC/DME)nLiAI(S03CI)4 (n = 2 -12). Kot mehčalo smo uporabili mešanico propilen karbonata (PC) in 1,2-dimetoksietana (DME). Mikrostrukturne in električne lastnosti polimernih trdnih elektrolitov smo študirali z rentgensko praškovno analizo (X-ray), diferenčno termično analizo (DSC) in impedančno spektroskopijo. INTRODUCTION The rapid development of the presenttechnology poses particular urgency to the need for new, more efficient and less polluting power source systems /1, 2/. For instance, high energy density, rechargeable batteries are today needed to replace bulky lead-acid bateries for the development of long range electric vehicles with improvements in the air quality of large urban areas. Advanced, environmentally friendly batteries would be also highly welcome in the electronic consumer market where they could replace the nickel-cadmium batteries or, even, the most common zinc-carbon dry cells, with the final goal of limiting the risk associated to their waste disposal. However, the development of ambient temperatures, high-energy batteries is today a major task and, accordingly, many laboratories throughout the world are carrying out research aimed to reach this important goal /1, 2/. The so far most promising approach has been that directed to the development of lithium batteries. These batteries offer a superior energy content in respect to that delivered by more conventional electrochemical storage systems. In its most essential structure, a lithium battery is formed by a *Author to whom correspondence should be addressed. lithium-based anode, a lithium ion conducting electrolyte and a lithium-ion-accepting cathode material. In synthesis, the overall discharge process involves the dissolution of lithium ions at the anode, their migration across the electrolyte and their insertion within the crystal structure of the host compound, while the compensating electrons travel in the external circuit of the same hosting compound, Fig. 1. As the anode material in the bateries, generally metal lithium is used. Lithium is a very reactive metal which is attacked by almost any electrolyte material. In fact, corrosion reactions may induce the growth of passivation layers on electrode surface which may ultimately lead to a cell failure /1, 9-11/. One way which has been proposed for overcoming this problem is that of replacing the metal lithium by insertion compounds BnCm (coke or graphite isertion compounds; BnCm lithium sink negative electrode is capable of accepting and exchanging large quantities of lithium ions, rocking chair batteries /1, 2, 3/). The insertion cathodes most commonly used in lithium batteries are inorganic compounds, such as transition metal dichalcogenides and oxides, which are characterised by layered or tunnel structures capable of providing channels for the easy access and fast mobility of 102 M, Kovac, S. Pejovnik: Identification of PEO-M(SQ3CI)x(M = Li, LiAl, Ca) Polymer Electrolytes Inforrnacije MIDEM 28(1998)2, str. 102-109 e o o CD Q- o anode A i . A® A® 1...... A© cathode y+ > O -,y G U+ > O o > -> O -.j > (-, > U+ > O '\/'v> o -> polymer electrolyte lonically Conducting Polymer Electrolytes Polymer electrolytes are ironically conducting materials formed by incorporating different salts into polymers /1, 2, 4-8, 12-16/. High molecular (M = 105 - 106) polyethylene oxide), PEO, is one of the most popular and studied polymer hosts for such electrolytes. A long polar PEO chain is an excellent solvent for most ionic salts O carbon oxygen cation anion Fig.1. Schematic illustration of discharge process on the Li/AzBy battery (from ref,(1)). Fig. 2. Schematic illustration of structure of PEO - MX complex (from ref. 151). lithium ions (insertion AzBy compounds having an open structure capable of accepting and releasing "x" number of lithium ions per AzBy mole, /1, 2, 3/). Any liquid or solid conducting material characterized by fast lithium ion transport can be used as a proper electrolyte medium for these batteries /1 -3, 5-8,12-15/. An important class of electrolyte which has been proposed and characterised in the most recent years are ionically conducting polymer membranes "polymer electrolytes". The potential uses of ion-conducting polymer electrolytes are numerous: e.g. they have been used to replace aggressive and dangerous liquid electrolytes in primary and rechargeable batteries and could be used in other electrochemical devices (sensors, electrochromic windows, electrochromic displays, etc.) /8/. However, polymer electrolytes offer many revolutionary properties, e.g., easiness of fabrication, high electrochemical and chemical stability, their elasticity compensates for quite big changes in volume during the electrochemical reaction both on anode and on cathode. Additionaly, polymer electrolytes have two functions: to act as electrolyte and well as separator which prevents contact (electric short cut) between anode and cathode. The battery may be constructed in new forms such as a thin, flexible sandwich or plastic layers which may be shaped to fit any desirable empty space of the addressed device /1, 2, 8/. Due to these unique advantages, the development of lithium polymer batteries is today strongly supported and many are the ongoing projects aiming at their commercial production for the electric vehicle and the electronic consumer markets /1 - 8, 12-16/. Obviously, the polymer electrolyte is the key component of these new plastic-like batteries and thus the characteristics and properties of the most promising types of these electrolytes will be discussed and evaluated. polymer electrolyte. a) the lithium cations in the electrolyte move by "hopping" mechanism through the polymer channels (lithium ions jump from one co-ordination site into a vacant hole) b) in the cristalline phase lithium ions jump from one co-ordination site into a vacant hole or go to the amorphous phase where migration of a lithium ion assisted by polymer segmental motion. c) migration of a lithium ion assisted by polymer segmental motion. 103 Inforrnacije MIDEM 28(1998)2, str. 102-109 M, Kovac, S. Pejovnik: Identification of PEO-M(SQ3CI)x(M = Li, LiAl, Ca) Polymer Electrolytes (MX); MX salts are dissolved by the presence of polar ether groups (-0-) /1, 2, 4, 5, 7, 8/. It will be mainly stressed that the formation of the electrolyte (i.e. polymer - salt complex) occurs via the co-ordination of the metal ions (M+) by the oxygen atoms of the polymer chains, with a basic structure involving the folding of the chains around the M-i- cations with their consequent separation from the anions (X-), Fig 2. The effective structure of solid PEO - MX systems may be much more complicated (involving single ions, ion - pairs and ion -clusters) /1, 2, 4, 7, 8/. However, the ionic transport in the solid polymer electrolyte is strongly related to the cation - ether oxygen coordination bonds (the stronger are these interactions the lower is the cation mobility) and to the freedom of polymer chains movements and to their rearrangements /8,13,14/. Local relaxation and sequential motions of polymer host chains become essential to confer high ionic conductivity to the electrolyte/2, 6-8/, Fig. 3c, Fig.3b. At lower temperature (below PEO melting temperature (Tm) e.g. Tm < 60 °C), where the crystallinity of the electrolyte increases, the chain flexibility is hindered and the conductivity drops by several orders of magnitude, passing from ca. 10"4 iQf1 cm"1 at 80 °C to ca. 10"8 Q"1 cm"1 /2, 5, 8,13,14/. Above glass transition temperature (Tg) the cations in the electrolyte move through the polymer channels by "hopping" mechanism and the contribution of polymer chain flexibility is markedly reduced, Fig.3a. Electrical and electrochemical characterisaton of (PE0)nM(S03CI)x (M = Li, LiAl, Ca) polymer electrolytes A number of poly(ethylene oxide), PEO-salt complexes are known to be electrochemically stable and exhibit high ionic conductivities at higher temperatures /2, 5, 8/. Alkali and alkali earth salts (CI', Br", I", SCN", CIO4", BF4", AsFe-) are the most frequently used for the preparation of polymer electrolytes because of high practical interest (especially high voltage of the Li / Li+ and Ca / Ca2+ couple) /12, 17/. Most of these complexes are polycrystalline, and therefore poor ion conductors near ambient temperature /2, 5, 8/. Oxohalides are a class of salts, used as dopants, that have been very often studied and have usually shown good electrochemical characteristics (good conductivity, good stability of polymer electrolytes, etc.). A great deal of data has been recorded on UCF3SO3, LiC(S02CF3)3, and LiN(S02CF3)2 /2, 5, 15/. Several years ago we used another (S, O, CI) - based lithium salt, LiAI(S03CI)4, to alleviate the voltage delay effect of U/SOCI2 batteries /9-11 /. In the present paper we check the characteristics of LiAI(S03CI)4 and related salts (USO3CI, Ca(S03CI)2) as dopants in a PEO matrix. We report on the preparation, electrochemical and micros-tructural characterisation of the (PE0)nM(S03CI)x (M = Li, LiAl, Ca, X = 1, 2 and 4) polymer electrolytes. Most PEO-based electrolytes have good mechanical strength and achieve high conductivity at about 100 °C (ca. 10"3 -10"4 S cm"1) (1, 2, 4, 5). However, they suffer from low conductivity values below 60 °C because of high crystallinity. The incorporation of a plasticiser into the electrolyte composition usually reduces the crystallinity and therefore improves the conductivity of PEO-based electrolytes at room temperature /6-8,14/. In this paper the preparation and characterisation of a solid polymer electrolyte with a composition of (PEO/plasti-ciser)nLiAI(S03CI)4 is described and discussed. A mixture of propylene carbonate (PC) and 1,2-dlmethoxy-ethane (DME) is used as the plasticiser. The characterisation of polymer electrolytes focuses on the correlation between the electrochemical (conductivity) and physical characteristics of electrolytes with and without plasticisers. EXPERIMENTAL Reagents Chlorosulphuric acid, p.a. (Kemika), and AICI3, p.a. (Fluka), were used without further drying or purification. LiCI, p.a., and CaCl2, p.a. (Fluka), were vacuum dried before use (at 180 °C for 4 days). Thionyl chloride, purum (Fluka), was distilled at atmospheric pressure and the fraction boiling at 76 °C collected and used. PEO (relative molar mass Mw= 10 , Aldrich) was vacuum-dried before use (for 48 hours at 50 ± 2 °C). The PC and DME were cleaned with vacuum distillation and the middle fractions were used. Acetonitrile (Aldrich) and N,N-dimethylacetamide (Aldrich) were used as received after drying over 4A molecular sieves. Li, Ca and LiAI-chlorosulphates were synthesised using a procedure described elsewhere /9-12/. The reaction yield was tested by X-ray and EXAFS analysis /8, 12, 13/. Polymer films were prepared in an argon-filled Braun dry box. The relative humidity in the dry box was below 20 ppm. A two-solvent technique was used to prepare the (PE0)nM(S03CI)x (M = Li, Ca, LiAl, X = 1, 2 and 4) electrolytes, the preparation procedure was described elsewhere /8,12,13/. The polymer films were prepared by casting the solutions (PE0)nM(S03CI)x on a Teflon sheet. The excess solvent was evaporated and the films were finally dried under vacuum (<0.1 torr) at 50 ± 1 °C for 8 hours. A series of as-cast (PE0)nM(S03CI)x mixtures, with n = 4 and n = 8 (where n = [EO / M+] ratio, M+ = Li + , Ca2+, (0.5 Li+ : 0.5 Al3+)), were prepared. In the case of mixed salts (e.g. LiAI(S03CI)4) the number "n" is related to the sum of the cations. The average film thickness was around 150 /jm. The (PE0/plasticiser)nLiAI(S03CI)4 electrolyte was prepared by mixing 20 % PEO and 80 % PC /DME mixture. The mixing volume ratio between the PC (Fluka) and the DME (Fluka) was 70:30. The LiAI(S03CI)4 was dissolved in PC/DME mixtures before being added to the polymer solution. The mass ratio between the PEO and the PC/DME was the same in all solutions. Polymer films were prepared by casting the (PE0/PC/DME)nLiAI(S03CI)4 solutions on a Teflon plate and evaporating the excess solvents and plasticisers in a vacuum until a film was formed, the more detail preparation procedure was described elsewhere /8, 14/. A series of as-cast (PE0/PC/DME)nLiAI(S03CI)4 104 M, Kovac, S. Pejovnik: Identification of PEO-M(SQ3CI)x(M = Li, LiAl, Ca) Polymer Electrolytes films with n between 2 and 12 was prepared, where n = [EO / M+] and M+ = Li+, Al3+. EO Is related to the PEO ether unit (-CH2CH2-O-) only, while the number "n" denotes the sum of all cations in the mixed salts. The average film thickness was c. 100 /jm. X - ray analysis of synthesised Li, Ca and LiAl - Chloro-sulfates salts, (PE0)nM(S03CI)x, and of (PE0/PC/DME)nLiAI(S03CI)4 electrolytes was made using a Philips 1710 X-ray powder diffractometer using CuKa radiation with 20 ranging from 5° to 65° in 0.025 steps with 1 s per step. Differential scanning calorimetric (DSC) measurements were carried out with a Perkln-Elmer DSC7 calorimeter. For determination of glass transition temperature (Tg) the samples were heated at a rate of 20 °C/mln from -80°C to 100 °C. The melting point (Tm) of pure PEO, (PE0)nM(S03CI)x and of (PE0/PC/DME)nLiAI(S03CI)4 complexes was determined from temperature scans performed at a rate of 10 °C/min from 25 °C to 250 °C. The films intended for impedance response measurements were mounted between two gold (blocking) electrodes with a surface area of 0.5 cm2. The electrodes were spring-loaded to maintain a good and reproducible contact with the polymer films. The cell used for conductivity (impedance) measurements was enclosed in a hermetically sealed glass tube supplied with electrical leads /8, 13/. The temperature dependence of the conductivity of the polymer films was measured using an aluminium furnace, constructed in the laboratory, equipped with a Parr - 4843 thermoregulator and two Fe/constantan thermocouples. Measurements were taken in the range from 24 to 110 °C at intervals of approximately 10 °C ± 1 °C. Impedance response measurements in the frequency range from 1 MHz to 20 Hz were taken using a Hewlet Packard 4284A LCR meter. Below 20 Hz impedance responses were measured using a Solartron 1250 Frequency Response Analyzer and a 1286 Electrochemical Interface. RESULTS AND DISCUSSION Polymer (PEO)n M(S03CI)X electrolytes, M = Li, Ca, LiAl From the literature /2,5/ we know that the size of anions and cations in an inorganic salt influences the crystal-linity of the host PEO. In Fig. 5 the effect of different cations (Li+, Ca2+, Li+Ai3+) In the presence of the same anion (SO3CI") on the crystallinity of the (PE0)8M(S03CI)x electrolyte is shown. From a comparison of diffraction patterns it can be seen that the crystallinity of the electrolytes decreases by more than 85% with respect to the undoped PEO (here the intensity of the PEO peak at 23 29 was taken as the reference value). The decrease of the crystallinity with the addition of salts is usually related to the plasticising effect of salts on PEO/2, 5, 8, 13/. Both the shape of the impedance response and its dependence on voltage bias (Fig. 4) confirm that all the Inforrnacije MIDEM 28(1998)2, str. 102-109 Re / L2 Fig. 4. A complex-plane plot of typical impedance spectra measured in the frequency range from 1 MHz to 20 Hz. polymer films studied, i.e. (PE0)nM(S03CI)x, n = 8, n = 4 (M = Li, Ca, LiAl), are ionic conductors /8,13,14/. The high-frequency arc represents the bulk film ionic conductivity, while the low-frequency part has a shape typical for an interface between an ionic conductor and a blocking electrode /8, 13, 14/ (in the present case Au electrodes were used). Different cations (Li + , Ca2+, Li+AI3+) of chlorosul-phates at the same concentration (n=8) exhibit different conductivities (Fig. 6). The highest conductivity across the whole temperature range was observed with the LiAI(S03CI)4 salt. This is not the result that would be expected if conductivity were only related to the ratio between the amount of crystalline and amorphous phases. According to this criterion, the most amorphous (PE0)8Ca(S03CI)2 electrolyte (see Fig. 5) should have the highest conductivity. Apparently the small, highly polarisable cations, such as Li+ and Ca2+, are trapped in strong electrostatic bonds with the ether linkages on the polymer chain /8, 13/. Notice that a full explanation of the enhanced conductivity of mixed salts should also take into account the anion contribution which could be a very complicated task. However, it is obvious that the number of SO3CI" anions plays a very important role in the overall conductivity of the polymer electrolytes (USO3CI salt with one SO3CI" anion exhibit the lowest conductivity compared to Ca(S03CI)2 with 2 SO3CI" anions with the medium one and LiAI(S03CI)4 salt with 4 SO3CI" anions with the highest conductivity). 105 Informacije MIDEM 28(1998)2, str. 102-109 M, Kovac, S. Pejovnik: Identification of PE0-M(S03Cl)x(M=Li, LiAl, Ca) Polymer Electrolytes PEO 30-: 25 i & 20j (PE0)8LiS03CI I CO I B 151 10 f 5 j /W i ¡ ! | v- 35 30 25 20 15 10 2 9/ degrees o 30 w c CD 20 10 PEO^ (PEOl.CalSO.CI), | v 35 30 25 20 15 10 2 9 / degrees 35 30 25 20 15 10 2 9 / degrees Fig. 5. The effect of ÜSO3CI, Ca(SC>3CI)2, LiAI(S03CI)4 salts on the crystallinity of the (PE0)qMS03CI, M = Li, Ca, LiAl electrolyte. T/°C 100 80 60 40 20 r ' 1 1 1 J 1 -0....... l¡akso3ci)4 n = 8 .......G....... LiSOgCI \ ♦ Ca(SO„CI) - O^ 3 2 ------------ 0 v. N , ; 1 1 ' i < ¡ 2.6 2.8 3.0 3.2 3.4 103 x y / K '1 Fig. 6. Arrhenius plots for (PE0)nMS03CI polymer electrolytes doped with different MSO3CI salts (M= (Li+, Ca2+, LI+AP+)). In all cases n = 8. Characterisation of (PE0/PC/DME)nLiAi(S03CI)4 polymer electrolytes The most widely studied (PEO)nMX polymer electrolytes (MX = UCIO4, UCF3SO3, LiBF4, M(S03CI)x (M = Ca, Li, LiAl), etc.) are materials more or less polycrystal-line at room temperature /1, 2, 5, 8, 13/. Only above ca. 65 °C, where the pure PEO portion of the heterogeneous complex melts, do these electrolytes show a significant increase in conductivity. An important and frequently used way of overcoming the polycrystalline character of the (PEO)nMX electrolytes is to incorporate plasticisers into PEO /2, 5, 6-8/. Fig. 7 shows that the presence of a combination of two plasticisers (PC and DME) in PEO reduces the crystallinity of high-molecular PEO (M = 106) by more than 50 %. Using size exclusion chromatography (SEC) we found that during the vacuum drying of (PEO/ PC/DME) solutions a certain amount of the plasticiservaporises/8,14/. Inthefinaldryundoped (the same was later found for Li-salt doped) films the mass ratio between PEO and the PC/DME mixture increased to c. 65:35 (from the initial 20:80 ratio in the solution /8, 14/). The reduction of the crystallinity of PEO/PC/DME films and the presence of both PC and DME in the final M, Kovac, S. Pejovnik: Identification of PEO-M(SQ3CI)x(M = Li, LiAl, Ca) Polymer Electrolytes Inforrnacije MIDEM 28(1998)2, str. 102-109 dry doped and undoped PEO/PC/DME films could also be confirmed using Raman and FTIR spectroscopy /8, 14/. X-ray powder diffractometer analysis shows that the (PEO/PC/DME)i2LiAI(S03CI)4 polymer electrolyte is still crystalline, while at higher concentrations of the LiAI(S03CI)4 salt the crystallinity rapidly decreases /8, 14/. When the concentration of the LiAI(S03CI)4 salt reaches n = 3, the electrolyte is already entirely amorphous, as evidenced by the total absence of Bragg peaks, Fig. 7. A similar dependence of crystallinity on salt concentration was observed by DSC measurements /8, 14/. As the concentration of the LiAI(S03CI)4 salt increases, the endotherm in the temperature range 25 °C to 100 °C shifts to lower temperatures. For example, the melting 100 55 50 45 40 35 30 25 20 15 2 0/ degrees Fig. 7. X-ray powder spectra of undoped PEO and (PEO/PC/DME) polymer films and doped (PE0/PC/DME)nLiAI(S03CI)4, n = 3 polymer electrolytes T /0 C 100 80 60 40 20 1 1 ' ! &--♦ yplg. n = 12 —*......n -- 4 ............... --•../:* • = 3 - - n = 2 \ \ - •. \ \V ♦ "V - V . f > \ __i__i__,_i_,___i_ i 2.6 2.8 3.0 3.2 3.4 103x^r/K"1 Fig. 8. Temperature dependence of conductivity of the (PE0/PC/DME)nLiAI(S03CI)4 polymer electrolytes with salt content n = 12, 4, 3 and 2. point of undoped PEO is 67 °C, the endothermic maximum of the (PE0/PC/DME)i2LiAI(S03CI)4 electrolyte appears at 59 °C, while for (PEO/PC/DME)3 LiAI(S03CI)3 it occurs at 36 °C (Table 1). From the literature we know that in this temperature range the uncomplexed PEO and/or the lower - melting complex forms are melts /18/. We reported previously that also the ratio between the height and the width of the low endothermic maximum changes with salt concentration /8, 14/. The samples with low concentrations have a narrower and more symmetrical endotherms, while the samples with high concentrations of the LiAI(S03CI)4 salts (n = 3, n = 2) have a broad asymmetric endotherm, or even exhibit no endotherms, indicating that at these salt concentrations the polymer electrolytes are amorphous /8,14/. From the SEM micrograph it is clear that the amorphous electrolytes (n = 3) also retain their mechanical stability (it is possible to peel them off Teflon plates) /8, 14/. As expected, the presence of LiAI(S03CI)4 salt in (PE0/PC/DME)nLiAI(S03CI)4, (with n = 2 to 12) electrolytes causes a shift of the glass transition temperature (Tg) to higher temperatures /2, 5, 8, 13/. For pure PEO Tg has a value of -59 °C while for the amorphous complex (PE0/PC/DME)2LiAI(S03CI)4 the value is -23 °C (Table 1). We assume that in our case it is the cation-ether oxygen interaction which is reducing the thermal mobility of polymer chains. Table 1: Glass transition temperature (Tg) and melting point (Tmj of the low temperature complex of selected (PEO)nLiAl(SOzCi)4 and (PE0/PC/DME)nLiAI(S03CI)4 polymer electrolytes. n = [EO/LI+AI3+] Tg/°C Tm/°C PEO -59 67 n=8 -49 65 n=4 -38 60 (PEO/PC/DME) -62 68 n = 12 -47 59 n=4 -36 49 n=3 -25 36 n=2 -23 / K. M. Abraham et al. and M. Alamgir et al. suggested that LiCI04 solvated with PC molecules interacts with the CN~ - group in polyacrylonitrile (PAN) or with the CI" - group in PVC /19, 20/. However, the structure of plasticised electrolytes is not well understood. Our present knowledge is consistent with structures in which Li+ and Al3+ are complexed by both the polymer network (PEO) and the solvents (PC, DME) /8,14/. The fact that the Li+ and Al3+ ions are solvated with PC and/or 107 Inforrnacije MIDEM 28(1998)2, str. 102-109 M, Kovac, S. Pejovnik: Identification of PEO-M(SQ3CI)x(M = Li, LiAl, Ca) Polymer Electrolytes DME molecules should lead to a decrease in the ion -ethric oxygen interaction with respect to the interaction of unsolvated ions because the solvated ions have a lower surface charge density. Furthermore, the relatively high dielectric constant (e (0.5 PC : 0.5 DME) = 41 at 20 °C [17] while e(PEO) = 5) probably prevents the formation of large cation-anion complexes (triplets and quartets) /8,14/. We presume that both effects lead to increased ion conductivity (see further text). Note, however, that at given temperature these effects cannot be detected separately from the effect of polymer segmental mobility by the plasticiser which also essentially contributes to the conductivity increase. We reported previously/8,13/, that the plasticiser modifies the shape of the Arrhenius curve. The modified polymerelectrolyte exhibits better ionic conductivity at lower temperatures (20 - 60°C), while at higher temperatures (T > 60 °C) the polymer without plasticiser shows better conductivity. Based on this result, we assume that at lower temperatures the interactions between the mobile cations and the ether oxygen are smaller if the plasticiser is present (the surface charge density is smaller). At high temperatures, the interaction between cations and ether oxygen is reduced to a great extent, due to temperature-activated chain mobility. In this case the smaller cations (unsolvated Li+, AP+) exhibit higher mobility than the cations solvated with the plasticiser, and hence the higher average conductivity of the electrolyte without the plasticiser at higher temperatures. Of, course, the overall Ionic conductivity is also determined by the concentration and mobility of anions /13/. In this work it is assumed that their contribution is the same in all polymer electrolytes studied. The dependence of the ionic conductivity of the studied doped polymers on salt concentration is shown in Fig. 8. At all temperatures the ionic conductivity increases until a salt concentration of n = 3 is reached; further addition of salt leads to a decrease in conductivity. It seems probable that this decrease occurs due to formation of non-conducting (electrically neutral) cation - anion pairs, because at high concentrations the average distance between cations and anions is significantly reduced /8, 21/. In addition, the associates themselves hinder the transport of the remaining free ions. It should be noted that the salt concentration has a stronger impact on conductivity at lower temperatures than at higher temperatures /8,14/. This seems reasonable because at higher temperatures the thermal mobility of polymer chains is greatly increased and the properties change from solid-like to liquid-like. Correspondingly, the slope of Arrhenius plots is less (cf. Fig. 8). CONCLUSIONS 1, At room temperature, (PE0)nM(S03CI)x, n = 8, (M = Li, LiAl, Ca) polymer electrolytes are semi-crystal-line, containing both amorphous and crystalline regions. The crystallinity and conductivity of polymer solid electrolytes are considerably affected by the type of cation in the chlorosulphate salts as well as the number of SO3CI" groups. The highest ionic conductivity was shown by the salt containing both Li+ and Al3+ cations and 4 SO3CI" group compared to 1 or 2 SO3CI" group in the USO3CI or Ca(S03CI)2 salts. 2. Preparation of a (PE0/PC/DME)nLiAI(S03CI)4 polymer electrolyte was described. Using X-ray powder diffractometer analysis it was, shown that a substantial amount of PC and DME plasti-cisers remains incorporated in final dry polymer electrolyte films and that the PC/DME combination reduces the crystallinity of PEO by more than 50%. As expected, the crystallinity of (PE0/PC/DME)nLiAI(S03CI)4 polymer electrolytes decreases with increasing LiAI(S03CI)4 salt concentration. At n < 3, the polymer electrolyte films were shown to be entirely amorphous. The amorphous electrolytes (n = 3) remain mechanically stable. With increasing salt concentration the Tg values of the polymer electrolytes studied increases, i.e. the polymer chains become increasingly rigid, presumably due to a cation - ether oxygen interaction. The PEO/PC/DME polymer electrolyte exhibits better ionic conductivity at lower temperatures (20 - 60°C), while at higher temperatures (T > 60 °C) the polymer without plasticiser shows better conductivity. With respect to salt concentration the conductivity exhibits a maximum value at n = 3. Acknowledgement Financial support from the Ministry of Science and Technology of the Republic of Slovenia is gratefully acknowledged. RFFERENHES 9 llnl ¡ml ILil V IseaW /1/ B. Scrosati, C&l La chimica & L'industria, 77, (n.5) (1995) 285. /2/ J.R. Dahn, A.K. Sleigh, H. Shi, B.M. Way, W.J. Weydanz, J.N. Reimers, Q. Zhong and U.von Sacken, pp.1-47; M. Algamir and K.M. Abraham, pp. 93-136; L. A. Dominey, pp. 137-165; T. Ohzuku, pp. 239-280; and C. Delmas, p.p. 457-478 all In: Industrial Chemistry Library, Vol. 5, Lithium Batteries, New Materials, Developments and Perspectives (Edited by G. Pis-tola),Elsevier, Amsterdam (1994). /3/G.Ceder, Y.-M. Chiang, D.R.Sadoway, M.K. Aydinol, Y.-l. Jang& B. Huang, Nature, 392, (1998) 694. /4/ P. Noväk K. Müller, K.S.V. Santhanam, O. Haas, Chem. Rev.97 (1997) 207. /5/ F. M. Gray, Solid Polymer Electrolytes, Fundamentals & Technological Applications, VCH Publishers, Inc., New York, USA (1991). /6/ K. M. Abraham, In Applications of Electroactive Polymers (Edited by B. Scrosati), p.p. 75-112. Chapman & Hall, London, (1993). /7/ M. B. Armand, Ann. Rev. Mater. Sei. 16 (1986) 245. /8/ M. Kovač, Ph.D. Theses, Faculty for Chemistry and Chemical Technology, University of Ljubljana, (1997). /9./ M. Kovač, M. Gaberšček and S. Pejovnik, J. Appl. Electro-chem. 24, 1001 (1994). /10/ M. Kovač, S. Mlličev, A. Kovač and S. Pejovnik, J. Electrochem. Soc. 142, 1390 (1995). 108 M, Kovac, S. Pejovnik: Identification of PEO-M(SQ3CI)x(M = Li, LiAl, Ca) Polymer Electrolytes Inforrnacije MIDEM 28(1998)2, str. 102-109 /11/ M. Jakič, M. Kovač, M. Gaberšček and S. Pejovnik, Electro- chimica Acta, 40, 2723, (1995). /12/1. Arčon, M. Kovač, A. Kodre, S. Pejovnik, J. Feldhaus, J, Phys. IV France 7, Coll C2, 1195 (1997). /13/ M. Kovač, M. Gaberšček and S. Pejovnik, ElectrochimicaActa, 0, No. 0, pp.1-7, (1998). /14/M. Kovač, M. Gaberšček and J. Grdadolnik, accepted in ElectrochimicaActa, (1998). /15/ N. R. Holcomb., P.G. Nixon, G.L.Gard, R.L. Nafshun and M.M. Lerner, J. Electrochem. Soc. 143, 1297 (1996). /16/ N. Furukawa, K. Nishio, pp. 150-181; B. Scrosati, R.J. Neat, pp.182-222; all in Applications of Electroactive Polymers (Edited by B. Scrosati), Chapman & Hall, London, UK, (1993). /17 K.C. Andrews, M. Cole, R. J. Latham, R. G. Linford, H. M. Williams, Solid State Ionics 28-30, 929 (1988). /18/ P. V. Wright, In Polymer Electrolyte Reviews - 2 (Edited by J. R. MacCallum, C. A. Vincent), p.p. 61-118. Elsevier Applied Science, London (1989). /19/ M. Alamgir, K.M. Abraham, J. Electrochem.Soc., 140, L96 (1993). /20/K.M. Abraham, M. Alamgir, J. Electrochem.Soc., 136, 1657 (1990). /21/ M. B. Armand, J. M. Chabagno and M. J. Duclot, In Fast Ion Transport in Solids; (Edited by P. Vashista, G. K. Shenoy), p.p. 131-136. North-Holland, New York, (1979). Dr. Minka Kovač, dipl.ing.kem. Rožna dolina c. V/15 1000 Ljubljana tel.: 1273 213, fax: 1273 191 El. pošta: omega@omega.si Prof.Dr. Stane Pejovnik, dipl.ing.kem. Ižanska 164, 1000 Ljubljana tel.: 1760 210 El. pošta: stane.pejovnik@ki.si Prispelo (Arrived): 23.6.1998 Sprejeto (Accepted):7.7.1998 109 In formacije MIDEM 28(1998)1, Ljubljana APLIKACIJSKI PRISPEVKI - APPLICATION ARTICLES EMI Suppression Part I: Definitions and Basics Iztok Sorli, MIKROIKS clo.o., Ljubljana, Slovenia Roberto Mauri, Murata Elettronica s.p.a., Caponago, Italy This is the first article in a series of articles which will be devoted to EMI occurance and its suppression using Murata EMI suppression components. To start with, EMI basics, definition of terms and overview of suppression techniques will be given. Other articles will deal with EMI suppression in digital equipment, AC and DC power lines as well as with proper selection and guidance to usage of Murata EMI filters. 1.0 INTRODUCTION The need for an "EMI free" electromagnetic environment has never been more important. Electronic and digital systems are increasing in the industrial, commercial and consumer markets, making what may be called electromagnetic compatibility a necessity. That is, various systems must be able to function in close proximity without either radiating noise or being affected by it. In different countries in the world there are different rules and regulations already put into practice to control EMI emission. Equipment that does not meet these regulations cannot be sold or used in that country. Only to mention a few: FCC part 15 in USA, VDE 0871 in Germany, CSA C108.8 in Canada, EN 55022 in Europe, VCCI in Japan and CISPR Pub.22 in CISPR member countries. Basically, all these regulations define the noise measurement items, frequency, noise detection mode, as well as noise levels allowed. Typical noise measurement items are, figure 1: • Radiated Interference (dB ¡j. V/m) • Mains Interference Voltage (dB >u V) • Interference Power (dBpW) Figure 1: Noise measurement items FCC Regulation Limits of radiated interference (Exchanged value for 3m distance) FCC Regulation Limits of mains terminal interference voltage (power supply) e > a. m S Q) CT> « ô > « c E 0) 90 70 50 30 10M 49.5f" 40 r- 43.5 Up io maximum frequency's fifth harmonic Of 40 GHz. whichever is tower 56.9 r class A 60 54 class B 30M 88M 100M 216M Frequency (Hz) 960M 1G > m S 0) O) ra o > « c d) 90 70 50 h™ 30 i 10K class A 69.5 60'-j' ; class B : 48 ---i"---1-—:48 100k 450k 1M 1.705M 10M 30M 1ÛOM , Frequency (Hz) Figure 2: Noise limits of FCC part 15 regulation 110 In formacije MIDEM 28(1998)1, Ljubljana • Radiated interference: the noise emitted from electronic equipment or connecting cables into the air and is measured using an antenna • Mains interference voltage: the noise that propagates through electronic equipment's power supply cable and other connecting cables; this type of noise is measured using artificial mains network or high-impedance probe • Interference power: the noise that propagates through or emitted from electronic equipment's power supply cable and other connecting cables and it is measured using an absorbing clamp. However, each regulation stated has different measuring items and methods. As well, the limit noise levels vary depending on the frequency and the noise detection method. As an example, in figure 2, limits of FCC Part 15 regulation are shown. Shield Shield (source) (received point) 1. Conduction mode....................................EMI Filter EMI Filter 2. Radiation mode.......................................Shield Shield 3. Conduction mode — Radiant mode........EMI Filter Shield 4. Radiation mode — Conducted mode......Shield EMI Filter Figure 4: Basic steps for EMI suppression 2.0 EMI SUPPRESSION PROCEDURES In order to effectively suppress the noise, a good knowledge about the noise sources and its propagation is needed. EMI sources may be artificial, such as the presence of EMI generating components and circuitry or may be natural, such as the presence of lightning, dust storms and solar activity. However, there are four basic modes for noise propagation, figure 3: • Conductor propagation • Space conduction • Conductor propagation - space conduction • Space conduction - conductor propagation For effective noise suppression, therefore, it is necessary to know the noise-occurring state and to take countermeasures there. After grasping these transmitting routes of noise, shield should be made to prevent space conduction, and EMI suppression filter should be used to suppress conductor propagation, figure 4. 2.1 Identification of Source and Propagation Mode As a first step for noise suppression, it is necessary to survey for the noise source and transmission route. Items to be tested are as follows: 1.Identification of source and propagation mode • from frequency distribution of EMI • from period of EMI • searching for most noisy point 2.Identify EMI propagation mode • radiation from equipment • radiation from I/O cables • radiation from internal wires • state of shielding conditions The degree of identification of the noise source and the transmission route will determine the importance and method of noise suppression. Generally, it is necessary to pay attention to the many cases of noise generation from the board. t. Conduction mode 2. Radiation mode (Radiation) 3. Conduction-mode - 4. Radiation mode - Radiation mode (Antenna effect) Conduction mode (Receiver effect) Figure 3: EMI propagation modes 2.2 Identification of Frequency Ingredients of the Desired Signal and EMI Frequencies Imposed on the Desired Signal Upon clarification of the noise source and transmission route, it is necessary to select EMI suppression filters having the suitable frequency characteristics. The following are the examples of items to be researched regarding noise frequencies and effective signal frequencies for selecting the proper EMI suppression filter. 1) Identify frequency ingredients of the desired signal • from waveform • from design data • survey of operation when waveform is distorted 111 In formacije MIDEM 28(1998)1, Ljubljana 2) Identify EMI frequencies imposed on the desired signal • measuring EMI spectrum of system under test 9 search of EMI distribution in each EMI conducting route 2.3 Improvement of Grounding In order to make the best use of EMI suppression filters or shields, and also, to reduce the noise radiated from the ground, the ground condition must be good. When there is potential difference between the standard case ground and signal ground (SG), or between each SG even In the same board, the ground condition should be Improved. In such cases, improving techniques are as follows: ® try to use ground plane • try to use multi-layer PCB • try to change ground pattern • try to use multi-point ground • try to decrease voltage between one SG and another SG point 2.4 Countermeasures by Shielding Directly radiating noise (space conduction) from the case or board can be effectively controlled by shielding. Note that if a shield covering a cable is broken, that shield will become a noise antenna. In case of shield structure with metal case, the case can be a stable ground, and noise can, thus, be easily suppressed. Countermeasures by shielding may be the following: 0 use metalic chasis 8 use shielded cables 8 put additional shield over the individual circuit on the PCB 8 use shielded connectors 3.0 EMI SUPPRESSION BY FILTERING 3.1 Introduction and definitions To achieve the maximum performance of an EMI filter, several areas must be considered. These Include the selection of the correct filter based upon circuit impedance, functional bandwidth, noise freguencies/levels and of equal or more importance is the grounding ofthe filter and the circuit. The effect of an EMI filter is generally expressed in terms of insertion loss which conforms to MIL-STD-220. The filter effect is expressed as a logarithm of the ratio of output voltage without a filter compared to output voltage with a filter in the circuit. In MIL-STD-220, the source and load impedance ofthe measuring circuit are specified as 500, figure 5. Filters can roughly be categorized as noise limiting type and noise separation type. Noise limiting type filters, figure 6, are resistive and ferrite products which when installed in series with the (A) INSERTION LOSS MEASURING CIRCUIT son ~/vV— B(v) 50 0 Load 50 0 -vWH Source AM M < son "> Load MIL-STD-220A (B) INSERTION LOSS = 20 log --- in dB C>(v) (C) (dB) AND INSERTION LOSS Voltage Ratio Example 100 1 1/10 1/100 1/1,000 f(V) 0,1(V) 0.01 (V) 1(mV) V10,000 0.1 (mV) 1/100,000 0.0l(mV) Frequency Figure 5: The definition of the insertion loss of a filter Noise limit type component Noise Source Input Impedance Output Impedance (a) Principle of noise reduction ■ RGSD Resistor (b) Example of typical components Figure 6: Noise limiting type filters signal line reduce the noise by, in the case of ferrltes, turning the high frequency noise Into heat. The advantage of the ferrite is that unlike a coil it does not set up reflections. These components are particularly useful when there is poor or no ground available, or capacitance cannot be tolerated by the circuit. The drawback to this type of filter is the limited insertion loss available, typically 5 to 15 dB. 112 In formacije MIDEM 28(1998)1, Ljubljana Noise separation type filters, figure 7, typically incorporate a capacitive element which strips the unwanted noise and channels it to ground. Frequently, a coil or a ferrite is also used to build a number of different circuit configurations. These filters can be selected to provide very steep attenuation curves and large insertion loss values up to 100dB or more. The trade-off here is cost, particularly as more sections are added to the filter, as well as size and weight. Noise separation and teeitback type component Noise Source (a) Principle of noise reduction EMI filters are used to suppress or attenuate unwanted frequencies and the most commonly used type of EMI filter is the low pass filter. A single element EMI filter (a capacitor or inductor) typically provides an insertion loss slope of 20 dB per decade. A dual element EMI filter (capacitor plus inductor in an "LC" or "CL" circuit) will typically provide 40 dB per decade. A triple element EMI filter (such as a "Pi" or "T" circuit) will typically provide 60 dB/decade with a quadruple circuit providing an 80 dB per decade characteristics, figure 8. 3.2 Noise separation filters The most popular and simple low pass filter is a capacitor. Its ideal characteristics is depicted in figure 9, while its impedance is governed by the expression: GR40 Capacitor NFM41R 3 terminai capacitor NFM61R LC hybrid tiller 2nL (b) Example of typical components where C is capacitance, Zc is capacitor impedance at the frequency fc. Figure r. Noise separation type filters 20dB/Decacie Capacitor Coil 5-o Frequency 0.1 1 10 c/1 20dB| 3 2M8( 100 0 r^^mi^ r 20 §40 c ï 60i '80 S Capacitance Value - 0.001 uF 0.01 uF 0.1 y F 1uF 100 001 005 0.1 0.51 5 10 50100 5001000 Frequency [MHz] 40dB/Decade L Type O—^Iffl—J-—o I L Type z s c aOdQt O 60dB/Decade k Type V -- 3 terminai NFM40R to 100 1000 iOOOQ Frscuancy (MHz) Figure 12: Improvement of insertion loss characteristics by using three-terminal capacitor Signal Band Insertion tO»8 XS& EMI Filler with factor EMI Filler with high »hap® factor Fnegiigncy Figure 13: Low vs. high shape EMI filter factor and its effects 114 In formacije MIDEM 28(1998)1, Ljubljana 3.3 AC and DC Power Line Filtering AC lines in many cases readily become paths for noise, which in turn effects other equipment (conducted interference). These lines also act as antennas, picking up noise radiated from other equipment (radiated interference). In order to prevent both of these undesirable effects, AC line filters are attached to the lines. These components effectively prevent noise from entering or radiating from AC lines. There are two types of noise generated in power supplies, figure 14: • normal mode noise is generated between lines and • common mode noise is generated between both lines and ground The role of each element is described below: NO. ITEM FUNCTION 1 COMMON MODE CHOKE COIL SUPPRESSION OF COMMON MODE NOISE 2 LINE-BY-PASS CAPACITOR SUPPRESSION OF COMMON MODE NOISE 3 ACROSS THE LINE CAPACITOR SUPPRESSION OF i DIFFERENTIAL MODE NOISE 4 _________________ DIFFERENTIAL MODE CHOKE COIL SUPPRESSION OF BOTH MODE NOISE | LINB LINEi UNE* LINEi G TIME -Normal Mode TIME - Common Mode Figure 14; Noise mode of power supply In selecting the capacitance required for the line to line capacitors and the inductance of the common mode chokes, it is necessary to determine the lowest desired frequency to be filtered (cutoff frequency). The value of the capacitance used in the line bypass (line to ground) application is limited by the maximum leakage current allowed by safety standards. In order to meet regulations governing conducted noise, it is necessary only to be concerned with frequencies up to 30MHz. However, it becomes necessary to eliminate up to 300 MHz to prevent radiating and receiving higher frequency noise at the AC power lines. To improve high frequency attenuation characteristics, DC filters are installed on the secondary side (DC) of the power supply or by utilizing feed thru capacitors or 3-terminal capacitors as the bypass capacitors in the power line filter, figure 16. a) Frequency characteristics of general power supply filters 'E X! '"I "t—Nr IlM -^TT ¡Mil! ~iir Therefore, circuit networks must be used which can suppress the noise of both modes, as shown In figure 15. (D ® ± TZ, m , J L_l\ © sw POWER SUPPLY © LOAD b) Improvement (1) ACiïr.q CC-noIsa Mec wUh setter characterises .'or h gn .'rscuency band at secondary sJOe iDC-part) _r 7 1 >S~1 Z. T o-X/¡ffl\J— Power Supply - (To ma mai/i PC.a. cf electronic ins fumeras) c) improvement (2) Metnoa oi us.ng capacitors with Doner nign iraquency cnarac:ensiics tban iina bypass capacitors. rfT^ T Z Pwer Supply ~ (To Ihs .Tiain PC.a of electron«: mstrumanis) Examp C SO 0.001" 0.Ö1 0.1 1 10 100 1000 frequency {MHz] u i /£7p-----ocb j I I -1-o CG I Figure 17: Insertion loss characteristics and equivalent circuit of Murata's BNX filters COMMENT: Murata entered the suppression filter field at an early stage in response to serious problems stemming from electromagnetic interference between electronic equipment. These pioneering efforts in the filter field resulted in the development and world-wide marketing of the EMI Suppression Filter (EMIFIL®). In 1979 Murata successfully developed an on-board type EMIFIL® , thereby realizing a solution to PC board noise suppression. In 1985, the EMIFIL® class on-board filter was further developed to produce a chip-based EMI suppression, filter, thus substantially improving noise suppression in compact electronic equipment. Based on more than thirty years of ceramic dielectric and ferrite technology experience, Murata's full range of high performance EMIFIL® serve to overcome and control all types of electronic equipment noise problems. Further, Murata's various noise suppression circuits, designed for the diversified needs of the electronic industry, offer great advantages in the pursuit of noise-free equipment. REFERENCES /1/ t. Tanabe, R. Wilson, G. Hubers: "The Effects of Proper Grounding and Selection of Board Mounted EMI filters", Murata NO.TE10ET /2/ EMI Countermeasures, Application Guidance, Murata NO.TE04ET /3/ Measuring Expertise for EMI Regulation Compliance and Countermeasures, Murata n0.teo6et B O- ps g o U For more information on MURATA EMIFIL® products, contact: MIKROIKS d.0.0. Mr. Iztok Sorli Dunajska 5, 1000 Ljubljana Tel.(0)61 312 898, fax.(0)61 319 170 116 In formacije MIDEM 28(1998)1, Ljubljana PREDSTAVLJAMO PODJET JE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE SIEMENS qn Villach and Graz, Austria The Siemens Microelectronics Design Center (EZM) in Villach with view to the „Mittagskogel/Kepa" The Siemens Entwicklungszentrum für Mikroelektronik Ges.m.b.H. (Siemens Microelectronics Design Center) was founded in Villach (Carinthia) in 1979 and nowadays represents the largest Research and Development (R&D) potential for integrated circuits in Austria. In December 1997 a second R&D site was opened in Graz (Styria). Currently 260 highly qualified engineers work in Villach and Graz on integrated circuits for the latest and emerging applications in automotive electronics, information technology, entertainment, integrated sensor systems and chip cards. The development turnover this year will be over half a billion Austrian Schilling. In both locations the R&D efforts are increasing continuously so that more than 300 employees can be expected in the year 1999. In Villach the Siemens Microelectronics Design Center and the Siemens Bauelemente OHG, a wafer fab specialized on power semiconductors, benefit from integrating research/development and production at one site. It is only through this close cooperation that it is possible to keep pace with shorter and shorter innovation cycles and the constant increase in product requirements, delivering semiconductor solutions for the world market. f V * X tU* » „ ' K m » è » « it m % il % « i Layout specialists work on a smart power circuit 117 In formacije MIDEM 28(1998)1, Ljubljana Up to now more than 200 million chips, developed by the EZM Villach, have been produced and shipped: 100 million for telecommunications, 100 million for ABS and airbag systems and 15 million for TV sets. More than 70% of these chips were manufactured in the Villach factory. The close cooperation by interdepartmental development teams at the Villach site ensures excellent product quality, which convinces even the most demanding customers from the automotive and telecommunication industry. to greatly expand the Villach Design Center and to establish the new location in Graz with 55 engineers tooay. The number of staff has doubled over the last five years and will exceed to 300 in the year 1999, taking both locations Graz and Villach together. ! I 1 A team checking simulation results Staff motivation, plus the willingness to apply both knowledge and professional enthusiasm to stand up to strong international competition, have turned the EZM Villach into a corporate competence center for: analog/digital signal processing in telecommunications, micro-processor and television circuits, as well as for power integrated circuits and sensor systems for the automotive electronics industry. ' i - ADSL chip-layout. Fast data communication over copper wire is a promising field with high potential To accommodate this growth, a second development building was constructed in Villach, which was opened on March 19th, 1998 by Dr. Caspar Einem, the Austrian Minister for Science and Transport. The opening speeches reflected the importance that Villach enjoys as a microelectronics location on the rise. The EZM Villach is among the world leaders through its involvement in new research projects, such as fast data communication on copper wires like ADSL and VDSL (see chip photograph), digital television systems or micro-mechanical sensor systems. The challenging, future-oriented work has drawn specialists not only from the whole of Austria, but also from Germany and neighbouring Italy to our Villach location. The strong worldwide demand for new microelectronic products as well as the large jump in Siemens turnover targets has led to an increasing need for development capacity in the recent years. The customer loyalty over a long period of time, and the know-how offered in the growing telecommunications and automotive electronics markets have enabled EZM mIUMIMMW MMppSflK HI The „new" building in Graz: The „Metahof-SchloBI", currently is adapted for the EZM-Graz and will soon be the workplace for more than 100 engineers 118 In formacije MIDEM 28(1998)1, Ljubljana Together with our new teams in Graz, who are working on innovative system solutions for chipcard and security circuit applications as well as high frequency chips, the Siemens Microelectronic Design Center will continue to stand up well to international competition and be successful with integrated circuits designed in Villach and Graz. For further information please contact us: f jt> ,VJf< f\|fO Entwicklungszentrum für ) 1 f < Vsi f J W Mikroelektronik Ges.m.b.H In Carinthia: Fingerprint Sensor C , e core element for future identification and authorization systems. Applications will be found in access control for buildings and computer networks, banking, health services and many other fields Besides Siemens and other electronic companies, the Fachhochschule and the newly established Carinthian Tech Research Institute (CTR) form the core of a constellation of high tech companies, sending important signals into the region and attracting more companies. In Styria: Siemensstraße 2 A-9500 Villach Tel.: + 43 4242 305-6341 Fax: + 43 4242 305-6223 e-mail: Reinhard.Petschacher@siemens-scg.com Hilmteichstraße 113 A-8043 Graz Tel.: + 43 316 321210-11 Fax: + 43 316 321210-44 e-mail: Wolfgang Pribyl@siemens-scg.com The Siemens Microelectronics Design Center, situated in Villach and Graz, is the largest research and development institution in the field of integrated circuits in Austria. Both cities, Villach and Graz, are situated in regions close to the Slovenian border with leading companies in the electronics and microelectronics industry. Villach is only a 1 hours' and Graz only a 3 hours' drive away from Ljubljana. Siemens invites innovative, dedicated and customer-oriented in particular electronics / micro-electronics, telematics, control engineering to submit their applications. Openings will be filled according to previous training, working experience and personal inclination, in the following areas: » R&D project management • Algorithm and system design 0 Analogue circuit design 0 Digital circuit design (VHDL) • Smart power IC design • Development of test programs • Test- and measurement systems and analysis • Design application engineering & CAD-support Experience in microelectronics, for example in the fields of A/D converter, power ICs, VHDL-design, and system design including behavioural simulation, are highly appreciated. For all the above positions you will find optimum conditions at Siemens: a professional working environment, up-to-date and powerful tools, excellent possibilities for personal development and further training, a performance-oriented income and intensive cooperation within an interdisciplinary and international environment. If this challenge appeals to you and if you can visualize one of these assignments as the next step in your professional career, then please submit your application to: Siemens Entwicklungszentrum für Mikroelektronik Ges.m.b.H. Human Resources Department, c/o Mrs. Zenkl, A-9500 Villach, Siemensstraße 2 e-Mail: christiana.zenkl@siemens-scg.com 119 In formacije MIDEM 28(1998)1, Ljubljana POROČILA - REPORTS Poročilo s konference New Developments in Radiation Detectors -8th EUROPEAN SYMPOSIUM ON SEMICONDUCTOR DETECTORS Schloss Elmau, June 14 -17, 1998 Simpozij poteka vsako tretje leto in je izrazito specialističen, saj obravnava le polprevodniške detektorje ra-diacije. Najpomembnejši uporabniki tovrstnih detektorjev so institucije, ki se ukvarjajo z raziskavami osnovnih delcev, močno pa se razširja uporaba tudi na področje medicine, kemije in biologije (detektorji za spektroskopijo, digitalno radiologijo, ...). Prispevki simpozija so bili iz sledečih področij: - bazične raziskave in raziskave novih materialov (raziskave defektov radiacije, električnih in temperaturnih lastnosti polprevodnikov) - nova orodja za analizo (numerična simulacija električnih lastnosti, procesna simulacija, analiza šuma, sistemska analiza) - tehnične raziskave (izboljšave procesov, kvalitete,..) Največ raziskav je še vedno na področju t.i. strip detektorjev in bralne elektronike. Na tem področju je bil v nekaj letih narejen precejšen napredek, saj so strip detektorji postali večji (narejeni na celi 4 palčni rezini), hitrost bralne elektronike se je povečala, detektorji omogočajo višje prebojne napetosti, bolj so razjasnjeni defekti radiacije, itd. Povečalo se je tudi število podjetij, ki ponujajo različne vrste detektorjev radiacije. Običajno so ta podjetja močno povezana z raziskovalnimi ustanovami, pogosto so celo nastala iz raziskovalnih laboratorijev, ki so imeli potrebno opremo za izdelavo detektorjev. Poleg strip detektorjev postajajo pomembni t.i. drift detektorji, ki imajo to prednost, da imajo zelo nizko kapacitivnost in omogočajo zelo dobro energijsko resolucijo. Njihova pomemba uporaba je poleg raziskave osnovnih delcev tudi v spektroskopiji. Vse pomebnejši postajajo t.i. pixel detektorji, ki omogočajo 2D zaznavanje. V to skupino spadajo tudi različne vrste CCD detektorjev. Na konferenci sem predstavil delo na področju strip detektorjev, ki bi se jih dalo uporabiti za digitalno mamo-grafijo. X-žarki naj bi pri tem vpadali s strani detektorja in ne na zgornjo površino. S tem načinom se lahko približamo 100% absorpciji x-žarkov, kar je zelo pomembno za zmanjšanje potrebne doze obsevanja pri mamografiji. Ena od težav takega detektorja je stranska površina detektorja, kjer vpadajo x-žarki, saj vsebuje defekte zaradi žaganja detektorja iz polprevodniške rezine. Ti defekti povzročjo povečanje zapornega toka stripa in s tem povečajo šum detektorja. S pomočjo numerične analize sem pokazal, da se je temu povečajnu težko izogniti drugače, kot da je strip oddaljen od reza za določeno razdaljo, kar pa obenem pomeni, da bo kljub popolni absorpciji x-žarka, na stripu zbran le del fotogeneriranih nosilcev naboja, saj bo precejšen del "zgubljen" v začetnem delu detektorja. Glede na rezultate analize sem predlagal novo strukturo, s pomočjo katere bi se lahko v veliki meri izognili omenjenim težavam. V kratkem bomo v Laboratoriju za elektronske elemente dokončali tudi načrtovani strip detektor in preverili teoretične predpostavke. Simpozija se je iz Slovenije udeležil tudi dr. Vladimir Cindro iz Instituta Jožef Stefan, ki je predstavil rezultate njihove skupine na področju radiacijskih poškodb strip detektorjev. Vsem, ki jih zanima področje polprevodniških detektorjev radiacije, priporočam obisk MIDEM konference in minisimpozija o polprevodniških detektorjih radiacije, ki bo letos septembra v Rogaški Slatini. Več informacij dobite na internet strani http.7/pollux.fer.uni-lj.si/midem/conf98.htm. Trenutno je pri avtorju teksta na voljo le zbirka povzetkov vseh prispevkov, v celoti pa bodo prispevki objavljeni kot članki v eni od naslednjih številk revije Nuclear Instruments and Methods in Physics Research (NIM). Dejan Križaj laboratorij za Elektronske elemente Fakulteta za elektrotehniko Ljubljana (dejank@fe. uni-lj.si) 4 th European Conference on M uiti Chip Modules EC-MCM'98 28. - 29. januar 1998, London, Anglija Četrta evropska konferenca o Multi Chip Modulih EC-MCM'98 je bila 28. in 29. januarja 1998 v Londonu v hotelu The Novotel. Konferenco je organizirala angleška sekcija IMAPS-UK. IMAPS je kratica za "International Microelectronics and Packaging Society", organizacijo, ki je nastala pred dobrim letom z združitvijo ISHM (Internatinal Society for Hybrid Microelectronics) in IEPS (International Electronics Packaging Society). Skozi ves tekst bom za Multi Chip Module uporabljal okrajšavo MCM. Predstavljeni referati so bili v sledečih sekcijah: 120 In formacije MIDEM 28(1998)1, Ljubljana - Materiali za MCM - Tržišče, priložnosti in infrastruktura - Design za MCM - Izdelava MCM-ov - Zanesljivo dobre tabletke V poročilu bom na kratko opisal vsebino nekaterih zanimivejših predavanj, na razpolago pa je zbornik referatov na Odseku za keramiko na Institutu Jožef Štefan. Najprej bom ponovil nekaj definicij. Multi Chip Moduli so komponente, podsistemi ali sistemi z zelo visokim številom funkcij. Narejeni so na večplastnih substratih, na katerih so pritrjene ali gole polprevodne tabletke ali pa tabletke v Chip Sized Package. V večplastnem substratu in na njegovi površini so prevodne linije. Vse skupaj je navadno hermetično zaprto. V glavnem ločijo tri tipe MCM, ki so izdelani v različnih tehnologijah, to je tankoplastni, debeloplastni (keramika) in v tehnologiji tiskanih vezij. Oznake so MCM-L (tiskana vezja), MCM-C (keramika) in MCM-D (tanki filmi). MCM-L so zahtevna večplastna tiskana vezja z linijami minimalne širine, to je navzdol do 25/jm, čeprav so bolj tipične širine linij do 100 jum. Ta tip MCM je najcenejši. Prevodnik je baker, dielektrik pa polimer. Glaven problem pri MCM-L je neujemanje temperaturnih razteznostnih koeficientov med silicijevimi tabletkami in organskim substratom. MCM-C so "keramični" hibridi visoke gostote, navadno večplastni keramični substrati ali pa kompleksna debeloplastna večplastna vezja. Večplastni substrati so iz zelenih folij, na katere so natiskani prevodniki. Folije se zložijo in žgejo. Keramičen material je lahko za visoko temperaturo žganja (AI2O3 ali AIN) ali pa z nizko temperaturo žganja (kristalizirajoča stekla). Debeloplastne izvedbe so ali "klasična" večplastna vezja ali pa vezja, narejena z novimi materiali, ki omogočajo večjo gostoto vezij, kot na primer difuzijsko oblikovanje ali foto postopki. MCM-D imajo nanešene tanko-plastne večplastne kovinske povezave (večinoma aluminij ali baker), ločene predvsem s polimernim ali včasih napršenim tankoplastnim (Si02) dielektrikom. Kot substrat se največ uporablja AI2O3 ali silicij. Silicij dobro prevaja toploto, njegov temperaturni razteznostni koeficient pa je seveda isti kot razteznostni koeficient silicijevih tabletk. V tem primeru se del elektronike izdela lahko že na substratu. Silicijev substrat je najbolj primeren tudi, če je v vezje povezana optoelektronika. Zaradi večje gostote prevodnih linij navadno niso potrebni več kot štirje prevodni nivoji. Ocenjujejo, da sta dva prevodna nivoja pri MCM-D ekvivalentna desetim nivojem pri MCM-C. Najdražji so MCM-D, ki omogočajo največjo gostoto komponent in povezav. Uporablja se predvsem za velike računalnike, grafične postaje in za vojaško ter vesoljsko elektroniko. Kot je bilo pravkar omenjeno, so najcenejši MCM-L, ki se vgrajujejo v potrošno elektroniko, na primer video naprave, elektronske igre in v elektroniko za gospodinstva. Keramični MCM pa so nekje vmes. Uporabljajo se v osebnih računalnikih, za telekomunikacije in delno za vojaško elektroniko. Še pred dvema letoma so se "pritoževali", da se MCM vrtijo v začaranem krogu in se kar ne premaknejo naprej. Zaradi majhnega povpraševanja in s tem pove- zanih majhnih serij so bile MCM izvedbe drage. Ker so bile drage, to ni spodbudilo povpraševanja. Sedaj pa so bili tako predavatelji kot občinstvo med diskusijami bolj optimistični. Kot zanimivo ilustracijo je nekdo omenil, da je bilo pred dvema letoma na MCM konferenci v Združenih državah Amerike veliko ljudi in veliko referatov, lansko leto pa spet veliko ljudi in precej manj referatov. Prvo (veliko občinstva) naj bi pomenilo, da so MCM vroča in zanimiva tema, drugo (manj referatov) pa, da so firme že začele z resnejšo proizvodnjo in zato nočejo več "izdajati" svojih podrobnosti o tehnoloških rešitvah. Mimogrede, tudi na tej konferenci sem imel vtis, da je precej referatov govorilo o novih rešitvah ali tehnologijah, precej manj pa je bilo tistih, ki bi se ukvarjali z rešitvami majhnih "umazanih" problemov, ki omogočijo uspešnejšo in s tem bolj konkurenčno proizvodnjo MCM z že relativno utečenimi tehnologijami. Pač pa se je veliko ljudi pritoževalo, ali pri samih referatih ali v diskusijah, da programi za načrtovanje MCM zaostajajo za potrebami. Večina softwara je namreč narejenega ali za načrtovanje vezij na siliciju ali pa za načrtovanje tiskanih vezij, medtem ko so potrebe za MCM nekje vmes med tema dvema tehnologijama. CAD (Computer Aided Design) programi za načrtovanje MCM so navadno izpeljani iz enega ali drugega, zaenkrat pa še ni CAD, s katerim bi lahko optimizirali design MCM. V enem uvodnih referatov so predstavili stanje na projektu Europractice MCM. To je projekt, ki ga zdaj že tretje leto financira Evropska skupnost, naprej pa naj bi se začel vsaj delno "preživljati" sam. Njegov cilj je, da bi preko tako imenovanih lokalnih centrov, lociranih po raznih krajih Evrope, povezoval proizvajalce MCM (uporabnike) s proizvajalci polprevodnih tabletk, materialov in opreme. Uporabniku naj bi pomagal pri izbiri primerne tehnologije in designa za zaželjene aplikacije. Uporabnik večkrat nima dovolj izkušenj, da bi izbiral med ponujenimi opcijami, zato mu Europractice MCM pomaga pri odločitvi. Namen tega projekta ni razvoj tehnologij, ampak izbor in uporaba razpoložljivih tehnologij. Doslej so evaluirali okrog 100 projektov, večinoma v zadnjem letu, zato jih je večina še v razvojni fazi in še niso začeli s proizvodnjo. Večina, 44% je za industrijske inštrumente, sledijo pa telekomunikacije, avtoelektrika, računalniki in vojaške aplikacije. Gonilna sila za uvajanje MCM so predvsem miniaturizacija sistemov - MCM je lahko pet do deset krat manjši kot izvedba na tiskanem vezju; boljše in hitrejše delovanje ter večja zanesljivost zaradi krajših povezav med komponentami; večja površina substrata je "pokrita" s tabletkami; in seveda zniževanje teže in porabe energije. Treba pa je vedeti, da so MCM dražji kot "klasične" rešitve in se jih bo uporabljalo tam, kjer bodo morali doseči omenjene zahteve. Kot je rekel eden od predavateljev, nihče ne uporablja Multi Chip Modulov v napravah samo zato, ker so čedni in izgledajo "seksi". Nekateri predavatelji so navajali zanimive nove rešitve za izdelavo MCM. Dva referata firme Pico Systems (Španija) sta predstavila izdelavo tenkoplastnih MCM s silicijem, torej izpeljanko MCM-D, ki so jo imenovali Programmable Silicon Circuit Board - PSCB. Na silicijevi rezini so izdelane vzporedne prevodne linije. Te so pokrite s plastjo dielektrika, na dielektriku pa so spet vzporedne prevodne linije, ki tečejo pravokotno na spodnje. Na vsakem "križišču" je skozi dielektrik med 121 In formacije MIDEM 28(1998)1, Ljubljana spodnjo in zgornjo linijo stebriček amorfnega silicija, ki ga imenujejo "antifuse", kar bi se morda okorno prevedlo kot "anti" ali "proti" varovalka. Amorfen silicij ima visoko upornost, 1 -2 Gohm. S sunkom toka pretalijo zaželjene povezave, pri čemer jim upornost pade na okrog 1 ohm. Tako lahko v predpripravljene substrate naredijo zaželjene kombinacije povezav za različne designe vezij. Gostota povezav je sicer za 20% do 40% manjša, kot bi bila, če bi za vsako vezje optimizirali potek prevodnikov, vendar pa daleč prevlada to, da se iz predpripravljenih substratov lahko zelo hitro izdela MCM. Pohvalili so se, da so za vesoljsko agencijo NASA naredili iz sheme vezja delujoč MCM prototip v samo treh tednih. Kot je bilo že omenjeno, je eden problemov pri MCM na tiskanih vezjih (MCM-L) neujemanje razteznostnih koeficientov med tiskanim vezjem in silicijevimi tabletkami oziroma pri tankoplastnih MCM-D pritrditev MCM-ov na tiskana vezja. Avtorji iz Univerze in iz firme Dicryl (Val-ladolid, Španija) so poročali o zanimivem pristopu, s katerim se izognejo temu problemu ali ga vsaj minimiz-irajo. MCM-D je izdelan tako, da pritrdijo flip-chip tabletke (tabletke s spajkalnimi kroglicami, ki se bondi-rajo "z obrazom" navzdol) na večplasten silicijev substrat. Ta je z elastičnim poiimernim adhezivom pritrjen na ploščico laminata. Polimer kompenzira različne razteznostne koeficiente. Povezave so narejene z žičnim bondiranjem iz silicijevega substrata na ploščico. Laminatna pioščica je prednji del BGA (Bali Grid Array) ohišja in ima seveda isti razteznostni koeficient kot tiskana plošča, na katero se prispajka. Predavatelji iz firme Higtec (Lenzburg, Švica) so predstavili zanimiv nov koncept substrata, ki se ga lahko "zvija" v treh dimenzijah. Šam MCM je varianta tanko-plastnega MCM. Na gladko površino začasnega substrata - steklo ali keramika - nanesejo polimerni film. Na njem izdelajo tankoplastne prevodnike, nato spet polimeren izolator, nato prevodnike itd. Izdelava je torej ista kot pri MCM-D. Ko so pritrjene, bondirane in zaščitene tabletke, se celotno vezje testira. Nato se vsa struktura odlušči od začasnega substrata. Dobimo polimeren film s tabletkami, ki se lahko zvije in pritrdi v ohišje ali pa zloži v nadstropja, tako da pride ena tabletka nad drugo. To so Imenovali Multi Chip Size Package - MCSP. Tako cela MCM kostrukcija zasede zelo malo površine na tiskanem vezju, le nekoliko več, kot je velikost največje silicijeve tabletke. Referent iz firme F-K Delvotec Bondtechnik GmbH (Ot-tobrunn, Nemčija) je predstavil referat z naslovom "Staying ahead, in bonding technology", ki ga na žalost ni v zborniku. Čeprav je bil to komercialen referat, namenjen reklami za njihove bonderje, se mi je zdel klasičen primer zelo dobre predstavitve, od podrobnega opisa samih materialov za bondiranje preko problemov pri bondiranju do rešitve. Obravnaval je ultrazvočno "wedge" bondiranje z aluminijasto ali zlato žičko. Začel je s tem, da je tehnologija bondiranja še vedno nekje med znanostjo in magijo. Pri ultrazvočnem bondiranju variiramo silo, s katero šoba pritisne na tabletko, ultrazvočno energijo in čas. Primerna kombinacija nastavitev parametrov teh treh nam da dober bond. Definicija dobrega bonda pa je, da je odtržna sila bonda večja od trdnosti same žičke za bondiranje. Če hočemo bond res stestirati, ga uničimo, saj se ali pretrga žička (dober bond) ali odlušči bond (slab bond). To je primerjal s sojenjem čarovnicam v srednjem veku. Dekle, ki je bilo osumljeno čarovništva, so recimo potopili za nekaj minut v vodo. Ce je preživelo, je to pomenilo, da je čarovnica in so jo zato usmrtili na kakšen drug neprijeten način. Pri bondiranju ločimo tri faze, potem ko šoba udari ob prevodno blazinico na silicijevi tabletki ali na vezju. Najprej (nekaj ms) je čiščenje; ultrazvočne vibracije oddrgnejo z žičke in od blazinice oksid ali umazanijo. Nato sledi deformacija in mešanje materialov žičke in blazinice na atomskem nivoju, kar da neurejeno strukturo z deformiranimi osnovnimi kristalnimi celicami in napetostmi v materialu. Zadnja faza je difuzija (zaradi ultrazvočne energije se material lahko segreje do nekaj sto°C), ki delno sprosti napetosti. Plastična deformacija žičke po bondiranju je okrog 20%. Na njihovih bonderji je senzor deformacije, ki meri deformacijo v odvisnosti od časa. Občutljivost senzorja je okrog 1 /jm. Rezultat je krivulja v obliki črke "S". Najprej krivulja malo naraste; to je faza čiščenja. Nato strmo raste med deformacijo in spet bolj polagoma med fazo difuzije. Ko so paramtri bondiranja optimizirani, kar se ugotovi z (destruktivnim) testiranjem, mora biti krivulja deformacije pri vsakem bondu ista kot prej. Več referatov je obravnavalo problem dobavljivosti tako imenovanih "zanesljivo dobrih tabletk" (KGD - Known Good Die). V Multi Chip Module se namreč vgrajujejo gole, neenkapsulirane silicijeve tabletke, ki morajo biti seveda "dobre"; kot smo ravnokar omenili, so MCM realizacije vezij oziroma komponent drage, tako da si proizvajalci ne morejo privoščiti, da drag MCM ne bi delal zaradi slabih, ne dovolj testiranih vgrajenih tabletk. Ko se tabletke pri proizvajalcih integriranih vezij (IC -integrated circuits) testirajo na silicijevi rezini z "gozdom" kontaktnih konic, jih večina stestira le enosmerne in nizkofrekvenčne parametre zaradi parazitskih kapacitivnosti in induktivnosti dolgih testnih konic. Kasnejše testiranje pri visokih taktih ure in po vtekanju pri povišanih temperaturah (burn-in) se običajno izvaja na enkapsuliranih tabletkah s primernimi priključki. Ponujena rešitev so bile firme "Die Houses" - to bi lahko okorno prevedli mogoče kot posredniki za tabletke ali kaj podobnega, ki bi bile vmes med proizvajalcem integriranih vezij in izdelovalcem Multi Chip Modulov kot končnim uporabnikom tabletk. Tu naj omenim, da so bili ti referati pripravljeni s strani "Die Houses" in so seveda poudarjali njihove prednosti. Na kratko, proizvajalec IC vezij ni prav zares zainteresiran za prodajo golih tabletk, ki so le majhen del njegove proizvodnje. Poleg tega pa pri enkapsuliranem in pretestiranem integriranem vezju vedo, da so prodali dobro komponento. Če pa prodajo integrirano vezje kot golo tabletko in potem MCM v napravi ne deluje, se lahko pojavi neprijetno vprašanje, kdo je kriv, proizvajalec integriranega vezja ali izdelovalec MCM. "Die Houses" bi kot posrednik lahko kupovale večje količine golih tabletk, večje zato, ker bi jih potem naprej prodajale različnim proizvajalcem MCM. Gole tabletke bi pretestirali, tako da bi lahko jamčili za njihovo kvaliteto. Poleg tega bi imel proizvajalec MCM konstruktivnega sogovornika, ki pozna njegove probleme in mu ve svetovati. Vtis iz predstavljenih referatov je bil, da je edina slaba stran tega pristopa višja cena silicijevih tabletk, kar pa naj ne bi predstavljalo bistven del cene končnega MCM. 122 In formacije MIDEM 28(1998)1, Ljubljana Drugo popoldne konference je bila serija predstavitev oziroma referatov, težko se odločimo med tema dvema izrazoma, ker so bili vsi navezani drug na drugega. Splošna tema oziroma naslov je bil "Good Die Serv-ice"oziroma "Good Die Project". To je en od projektov Europractice - Multi Chip Modules, ki ga je doslej financirala evropska skupnost. V njegovem okviru naj bi zbirali po eni strani možno dobavljivost integriranih vezij, tako golih tabletk kot tabletk v CSP ohišjih, po drugi strani pa koordinirali želje oziroma zahteve proizvajalcev MCM. S tem, da vedo, kje se kaj dobi, naj bi pomagali proizvajalcem MCM kupiti zaželjene tabletke. Hkrati naj bi jim pomagali pri designu MCM. Kot primer je eden predavateljev omenil 32 bitni procesor, ki ima okrog 400 l/O kontaktov. Ti so pri vsakem proizvajalcu inegrirarih vezij lahko različno postavljene, tudi dimenzije tabletk se seveda lahko razlikujejo. Na Internetu imajo postavljeno domačo stran z naslovom WWW.GOODDIE.COM, preko katere lahko uporabniki začnejo iskati zaželjene komponente po nazivih, karakteristikah, proizvajalcu itd. Predavanja so bila spremljana s predstavitvijo iskanja preko računalnika. Ker se je zveza parkrat prekinila, so se pošalili, da je to dokaz, da zares iščejo po Internetu in da ni vse predpripravljena predstavitev. Kot rečeno, je projekt doslej financirala evropska skupnost, naslednje leto pa se bodo morali začeti financirati sami. Demonstracija iskanja preko njihove strani je zaenkrat še brezplačna, kasneje pa bodo uporabniki morali plačati. Tisti, ki se bodo naročili nate usluge prvo leto, bodo plačali nekaj tisoč dolarjev, kasnejši naročniki pa okrog deset tisoč dolarjev. Razvila se je precej "živahna" diskusija okrog vprašanja, zakaj naj bi to plačal uporabnik in ne proizvajalci integriranih vezij, ki dobijo tako v bistvu brezplačno reklamo. Predavatelji so na to odgovarjali, da se uporabniku splača plačati za dobro ponudbo in pomoč pri iskanju komponent, kar je vse lepo urejeno na enem mestu. Bolj realen razlog je, da proizvajalci IC-jev niso zelo zainteresirani za prodajo golih tabletk, ker te predstavljajo samo okrog procent njihove poizvodnje in zato pač niso pripravljeni plačati. Predstavljale! so tudi "tolažili" bodoče uporabnike, da ima prodaja golih tabletk zelo visoko stopnjo rasti, preko 50% na leto, tako da se bo morda čez nekaj let trend obrnil in da bodo proizvajalci začeli plačevati za to, da so njihove komponente predstavljene na teh straneh. Marko Hrovat 21 th International Spring Seminar on Electronic Technology ICCE QQ lUwL 9u 4. - 7. maj 1998, Neusiedl am See, Avstrija Konferenca International Spring Seminar on Electronic Technology (ISSE'98) je bila od 4. do 7. maja 1998 v hotelu Wende v Neusiedl am See, turističnem kraju na severovzhodu Avstrije. Letošnja konferenca je bila že 21. po vrsti. Organizirajo jo prvi teden v maju v eni držav srednje in vzhodne Evrope. Letos je bil organizator Institut za materiale in elektrotehniko s Tehnične univerze na Dunaju (prof. Nicolics). Predstavljenih je bilo preko 80 del, od tega 22 kot referati, ostali pa kot posterji. Referati so imeli pol ure časa, od tega naj bi bilo namenjeno 20 min za predstavitev, ostalih 10 min pa za diskusijo.Registriranih udeležencev je bilo nekaj nad sto, iz, kot so organizatorji ponosno povedali, 14 držav. Naslednja konferenca bo maja 1999 v Dresdenu. Delo na konferenci je potekalo v sledečih sekcijah: - Tehnološko izobraževanje na univerzitetni ravni - Skupni raziskovalni in tehnološki programi - Zahtevnejše tehnologije elektronskih povezovanj - Novi senzorji in pakiranje senzorjev - Novi trendi načrtovanj in modeliranj elektronskih vezij - Zahtevnejši proizvodni procesi - simulacije in aplikacije Posterji, ki so bili razstavljeni dva popoldneva, so bili porazdeljeni v sledečih skupinah: - Substrati - materiali in tehnologije ..... GaAs in Si tehnologije - Povezovalne tehnologije ...... Toplotni "Management" - Modeliranje in aplikacije vezij - Simuliranje procesov in optimizacija - Zagotavljanje in kontrola kvalitete - Senzorji in prcesiranje signalov - Mednarodna univerzitetna sodelovanja Teme, obravnavane na konferenci, so obsegale, kot je razvidno iz naslovov sekcij, zelo široka področja, od izobraževanja, preko materialov, načrtovanja in računalniških simulacij do kontrole kvalitete. V poročilu bom na kratko opisal nekaj prispevkov, ki so bolj zanimivi za nas. Vsi prispevki, tako "govorjeni" referati kot posterji so objavljeni v zborniku, ki je na razpolago na Institutu Jožef Stefan in v HIPOT-HYB, Šentjernej. Sinnadurai (Reliability of new paegaging concepts) je predstavil trenutno stanje in trende na področju pakiranja elektronskih komponent. Število komponent, namenjenih za pritrjevanje skozi luknje v tiskanih vezjih, kar je bilo pred desetimi leti prevladujoče, upada. Močno pa raste število komponent za površinsko motažo in število silicijevih tabletk, ki so pritrjene ali "gole" ali pa pakirane kot CSP (Chip Scale Packaging). Te zadnje zaenkrat predstavljajo res samo okrog 1% trga, vendar je stopnja rasti več kot 60%, predvsem za uporabo v MCM (Multi Chip Modulih). Razlog za to je večja gostota komponent na vezjih, ki jih omogoča tako površinska montaža kot gole tabletke. Predvidevajo, da 123 In formacije MIDEM 28(1998)1, Ljubljana bodo leta 1998 proizvedli več kot 40 milijard komponent za površinsko montažo. Mimogrede, avtor je mnenja, da bodo CSP komponente, ki so sicer za kakih 20% večje kot gole tabletke, prevladale, ker se jih veliko lažje testira kot gole. Zahteve po nizki ceni enkapsulacije so zahtevale obsežno testiranje plastičnih ohišij. Z nabranimi (efektivnimi) več kot 100 milijonov komponent/ur testiranja pod različnimi pogoji so dokazali, da plastična enkap-sulacija z modernimi polimernimi materiali ustreza vsem zahtevam po zanesljivosti, tudi v zahtevnih okoljih, na primer v tropskem podnebju (vlaga) ali v avtomobilskem motorju (velike temperaturne spremembe). Zanimivo je bilo predavanje Pawioy-a (Research at university and patenting; a contradiction?), čeprav se ni dotikalo direktno elektrotehnike ali materialov. Poudaril je, kako je pomembno preiskati patentno literaturo, preden se ljudje odločajo za razvoj česa, kar mislijo, da je novo. Ocenjujejo, da je samo v Evropi vsako leto "izgubljenih" okrog 70 milijard mark, za raziskave na raznih projektih oziroma temah, ki so že raziskane. Patentiranje v domači državi je navadno relativno poceni, (v Avstriji na primer nekaj sto do nekaj tisoč šilingov) medtem ko je mednarodna zaščita draga. Zato se splača, da se najprej patentira doma, nato pa ima "prijavljalec", tako posameznik, kot na primer, raziskovalna ali univerzitena institucija, eno leto časa, da poišče zainteresiranega partnerja, ki bo pripravljen izum tržiti in plačati del ali vse stroške za patentiranje v drugih državah. Zanimiva razlika je med ameriško in evropsko zakonodajo. V ZDA lahko rezultate objavijo in nato patentirajo v roku enega leta, drugje pa javna objava, celo omenjanje na Internetu, izključuje patent. Več prispevkov je opisovalo testiranje alternativnih spajk, ki ne vsebujejo svinca. Razlog zato je vse strožja zakonodaja. V ZDA bodo na primer po letu 1999 uvedli posebne davke na izdelke, ki vsebujejo svinec. Tudi Evropa pripravlja strožje zahteve. Nove zlitine so na osnovi kositra, bizmuta, srebra in antimona. Eden trendov je tudi uporaba spajkalnih zlitin z višjo temperaturo tališča za vezja za avtomobilsko industrijo. Zato so potrebni tudi novi fluksi in drugače obdelane spajkalne površine kot pri uporabi običajne kositer/svinec spajke. Kot so omenili v diskusiji, je elektronika razmeroma majhen porabnik svinca - porabi ga samo okrog 5% za spajke. Največji porabnik so akomulatorji v avtomobilih, ki jih še dalj časa ne bo mogoče zamenjati za primerljivo ceno z alternativnimi brez svinca. Več prispevkov pa se je ukvarjalo tudi s "klasičnim" spajkanjem, predvsem z metodami kontrole in zagotavljanja kvalitete pri spa-jkanju pri površinski montaži. Komlosi iz Tehnične univerze v Budimpešti je poročal o elektroluminiscentnih displejih, realiziranih z debe-loplastno tehnologijo. Materiale je razvila firma Du Pont. Aktiven material je cinkov sulfid, dopiran z različnimi kovinskimi oksidi, ki določajo barvo svetlobe. Ker so elektroluminiscentni materiali zelo občutljivi na vlago, so v debeloplastni pasti zaprti v mikrokapsule. Zaporedje postopkov za izdelavo debeloplastnega displeja je preprosto in poceni. Na prozoren nosilec (steklo ali polimerna folija) nanesemo prozoren prevodnik, na prevodnih elektrolumlniscentno pasto, nanjo dielektrik in nazadnje neprozoren prevodnik, srebro ali ogljik. Vse paste so polimerne, tako da se jih ne žge pri visoki temperaturi, ampak samo pri 130°C. Napetosti so okrog 100 V. Zanimivo je, da je barva svetlobe odvisna od frekvence napajalne napetosti. Ti debeloplastni displeji so precej cenejši od standardnih, narejenih z vakuumsko depozicijo. Driezdic iz Univerze v VVroclavvu je predstavil zanimiv pregleden referat o objavah o debeloplastnih senzorjih, tako debeloplastnih materialih za senzorje kot realiziranih senzorjih, od leta 1969 do vključno 1995. Vseh analiziranih člankov je okrog 800. Največje objav japonskih in ameriških avtorjev, vsak po 72. Slovenija ima tudi kar lepo število prispevkov, 19, toliko kot Madžarska in več kot na primer Indija ali Južna Koreja. V samem prispevku so navedene samo nekatere starejše reference do leta 1980, avtor pa je pripravljen poslati celotno (isto referenc, urejenih po področjih, na primer senzorji temperature, semzorji sile itd. Mach in sodelavci (češka tehnična univerza, Praga) je v zanimivem prispevku obravnaval možne korelacije med tokovnim šumom in nelinearnostjo (majhno odstopanje od Ohmovega zakona) pri debeloplastnih uporih. Oboje je odraz nehomogenosti v mikrostrukturi materiala. Tokovni šum, ki se po Quan Tec metodi meri pri frekvenci 1 kHz, je pri debeloplastnih uporih tipično razred ali nekaj razredov velikosti višji kot termični šum. Ugotovili so, da lasersko justiranje, za katerega se predpostavlja, davnašamikrorazpokevdebeloplastenupor, dvigne šum za okrog 10 dB, medtem ko na izmerjeno tretjo harmonsko frekvenco skoraj ne vpliva. Avtorji upajo, da bodo ti in nadaljnji rezultati prispevali k boljšemu razumevanju mehanizmov prevodnosti v debeloplastnih uporih. Teh mehanizmov je najverjetneje več, od ohmske prevodnosti preko stikov prevodnih delcev do tuneliranja preko tankih plasti stekla med prevodnimi verigami. Sauer in sodelavci iz Univerze v Dresdenu so v dveh prispevkih (prvi je teoretičen, drugi pa podaja rezultate preiskusov) opisali metodo za kontrolo natančnosti polaganja komponent pri površinski montaži. Komponente postajajo ali vedno manjše, na primer chip upori, ali pa imajo vedno več izvodov z vedno manjših "razmakom" (z besedo "razmak" sem skušal prevesti "pitch", ki tu pomeni dimenzijo kontaktov in razdaljo med njimi) TAB (Tape Automatic Bonding - avtomatsko bondiranje na traku) zahteva razmak 200 jum, CSP (Chip Size Package) pa manj kot 125 /jm. Naprave za avtomatsko montažo (pick and plače), ki se uporabljajo pri izdelavi vezij, morajo biti dovolj natančne, da "zadenejo" v mejah dovoljene napake s kontakti ohišij na prevodne blazinice na vezju. Izdelovalci teh naprav sicer večinoma navedejo, kako natančne so, vendar pa je treba to vseeno preveriti, naprave lahko postanejo manj natančne (po domače "razmajane") že zaradi transporta ali pri postavljanju v proizvodnji. Manj natančne lahko postanejo tudi, ko dalj c8.s8 obratujejo, zato je priporočljivo, da se od časa do časa preverijo. Avtorji so razvili metodo, pri kateri vezje simulira steklena plošča, na kateri so natančno označene blazinice. Polagalna naprava nato položi komponente na ploščo. Plošča je osvettljena od spodaj, CCD kamera pa pres- 124 In formacije MIDEM 28(1998)1, Ljubljana canira položene komponente. Računalniški software določi, kakšna je napaka pri polaganju. Doslej so tes-torali že več kot 150 naprav za polaganje. V okrog 75% primerov se je pokazalo, da so manj natančne kot bi morale biti po klasifikaciji proizvajalca. Večina napak je bil sistematični zamik (bias) v eno ali drugo smer od centra. V diskusiji so povedali, da je cena testiranja nekaj 100 mark, kar je seveda le majhen del cene naprave za polaganje. Zato se to preverjanje verjetno splača, predvsem pri prvi montaži naprave v proizvodnji. Dva prispevka avtorjev z Inštituta za elektrotehniko iz Wroclawa sta obravnavala problematiko priprave nizko voltnih varistorje. Nizko voltni varistorji morajo imeti velika zrna enakomerne velikosti. To so poiskusili doseči ali z uporabo zelo finih izhodnih prahov (specifična površina 30 m2/g) ali pa s sintranjem v tekoči fazi. Tekoča faza nastane z reakcijo med dodanim Bi4Ti30i2 in ZnO pri 950°C. Omenim naj, da so dodatek Bi4Ti30i2 namesto Bi203 (tališče okrog 820°C) za doseganje sin-tranja v tekoči fazi razvili in mislim, da tudi patentirali, na našem odseku za keramiko. Več prispevkov je poročalo o sodelovanju univerz iz različnih držav, predvsem z izmenjavo študentov, ki so delali na skupnih projektih. Slovenski udeleženci iz Instituta Jožef Stefan in HIPOT-a RRS, Šentjernej, smo predstavili štiri dela, dva kot referata in dva kot posterja. Eden od prispevkov je obravnaval debeloplastne upore, ki so se jim po interakciji z dielektriki za večplastna vezja ali NTC upori spremenile karakteristike tako, da so imeli visoko in linearno odvisnost upornosti od temperature in so zato potencialno uporabni kot senzorji temperature. Drugi je podal primerjavo karakteristik in cene dveh vezij, ki sta bila izdelana v tehniki površinske montaže in kot debe-loplastna hibridna vezja. Tako po zanesljivosti kot po ceni so se debeloplastna vezja pokazala kot nekoliko boljša. Tretji je obravnaval izdelavo in testiranje "intili-gentnega" senzorja pritiska, četrti pa testiranje toplotne prevodnosti in zanesljivosti po temperaturnem cikliranju epoksidnih materialov za pritrjevanje komponent v hibridnih vezjih. V času konference je bil organiziran tudi projektni sestanek Inco Copernicus projekta z naslovom "Establishment of Fast Prototyping Low Cost Multichip Module Technology Facilities in Eastern Europe for the Benefit of European Industry" oziroma s "kratico" "Cheap Multl Chip Modules". Cilj tega projekta je doseči možnost razmeroma poceni designa, izdelave in testiranja predvsem prototipov MCM-C (multi chip moduli na osnovi keramike) in MCM-L (multi chip moduli na osnovi tiskanih vezij). MCM-D (tankoplastna tehnologija s polimernimi dielektriki na silicijevem substratu), pri katerih dosegajo sicer največje gostote, so predragi za aplikacije v komercialni oz. potrošni elektroniki in zato niso del tega projekta. Projekt, ki je bil odobren in se je začel maja lansko leto, bo trajal tri leta, do 30. aprila 2000. Prvi, "nulti" oziroma, kot so ga imenovali, "kick off" sestanek je bil organiziran v času NATO/IMAPS workshopa 10.-12. maja 1997 na Bledu. Prvi delovni sestanek je bil 14. novembra 1997 v Munchenu, v času razstave Productronica 97. Na sestanku smo partnerji predstavili svoje delo v obdobju od novembra 1997, to je od sestanka v Munchenu do konca aprila 1998. Prav tako smo predstavili planirane aktivnosti in oddali delovna in finančna poročila. Administrativni koordinator projekta je prof. dr. Zsolt Illyefalvi-Vitez iz Tehnične Univerze v Budimpešti, Madžarska. V okviru projekta sodeluje devet partnerjev: - Technical University of Budapest, Madžarska - The Welding Institute, Cambridge, Anglija - Jožef Stefan Institute, Ljubljana, Slovenija - IMEC, Division INTEC, Leuven, Belgija - University Polltechnica of Bucharest, Romunija - Elektriprint Ltd. Budapest, Madžarska - HIPOT Hybrid, Šentjernej, Slovenija - William Dennehy, Stevenage, Anglija - Ramelectro Sri, Bucharest, Romunija V Sloveniji sta dva partnerja tega projekta, HIPOT-Hyb., Šentjernej, proizvajalec debeloplastnih hibridnih vezij, in Institut Jožef Stefan, Ljubljana. Naša naloga v okviru INCO COPERNICUS projekta je izbor, študij in evaluacija debeloplastnih materialov za izdelavo kompleksnih večplastnih debeloplastnih vezij z ozkimi linijami in povezavami med posameznimi nivoji in priprava ter uporaba testnih struktur: Večplastna debeloplastna hibridna vezja spadajo v okvir prej omenjenih keramičnih MCM, to je MCM-C. Večplastna vezja bodo narejena z metodo difuzijskega oblikovanja (diffusion patterning). Difuzijsko oblikovanje je način izdelave večplastnih debeloplastnih vezij, ki omogoča doseganje večje gostote vezij z obstoječo tehnologijo sitotiska in žganja. Pri večplastnih vezjih so prevodne ravnine ločene s plastjo dielektrika. Prevodniki so skozi dielektrik povezani z odprtinami (vias). Dosegljiva velikost oziroma "majhnost" teh odprtin, pogojena z reološkimi latnostmi dielektrične paste, določa gostoto večplastnega vezja. Če bi pasta takoj po tisku na substratu "zmrznila", bi se lahko tiskale majhne odprtine, v sami plasti pa bi ostajale luknjice (pinholes), ki bi lahko povzročale kratke stike med prevodnimi ravninami. Zato mora pasta po tisku malo "steči", da se zapolnijo nezaželjene luknjice. To pa seveda omejuje najmanjšo velikost odprtin, da se tudi te ne zaprejo. Velikost odprtin je torej določena z reologijo paste in ne z dosegljivo natančnostjo sitotiska. S pazljivim delom (in nekaj sreče) so velikosti odprtin pri sitotisku do 300 mikrometrov. V primeru difuzijskega oblikovanja najprej natiskamo plast dielektrika brez odprtin. Nanj natiskamo kapljice paste za difuzijo (image paste), ki reagira z dielektrikom pod sabo. Nato se substrat spere s tušem vode, segrete na 35-45°C. Voda izpere samo difindiran material. Ta tehnologija omogoča ponovljivo izdelovanje odprtin velikosti 200 ¡jm, s pazljivim delom pa celo manjše. Večplastno vezje, narejeno s to tehnologijo, je pri isti kompleksnosti 20% do 40% manjše od "navadnega" debeloplastnega večplastnega vezja. Analizirali in optimizirali bomo izdelane testne integrirane substrate. Naše ugotovitve naj bi bile delno vodilo partnerjev za optimizacijo načrtovanja MCM-C struktur. Ljubljana, maj 1998 Marko Hrovat, Darko Belavič 125 In formacije MIDEM 28(1998)1, Ljubljana VESTI - NEWS V rubriki VESTI tokrat namesto običajnih vesti objavljamo uvode v letna poročila treh raziskovalnih institucij iz Belgije (IMEC), Francije (CMO), in Irske (NMRC). Celotna letna poročila so na razpolago v uredništvu Informacij MIDEM, IMEC Introduction I. Preface Microelectronics continues to be the most rapidly evolving technology. The future technologies require enormous efforts in basic and long-term research related to new materials, interfaces between different materials, new lithography concepts, new interconnect concepts, ways to handle large complexity in design and in processing. Next to this effort, it remains a challenge to further reduce the dimensions, to master the new production processes and to bring them to high yield, and to reduce the waste in order to decrease costs and environmental effects. The developments in microelectronics also lead to the rapidly expanding field of microsystems with already interesting applications in medicine, pharmacology the automotive sector, space, ... The R&D underlying these developments is multidisci-plinary and is a mixture between long- and short-term research. The cost increases substantially with every new generation. It requires an excellent collaboration between industry independent laboratories and academia. The interaction has to be built on a good intellectual property ruling. IMEC stands out as an independent R&D laboratory. It is known for its broad coverage of microelectronic technologies, its balance between basic research and application-oriented research, its unique infrastructure and its well developed IPR policy. IMEC is carrying out R&D with many companies all over the world, next to more than 60 companies in Flanders. Up till today it started already 14 spin-off companies. The microelectronics industry in Flanders is gaining importance through the creation of DSP valley, through the efforts of IMEC in training, transfer of technology, creation of spin-offs, but also due to the many initiatives and incentives from the Flanders government. This scientific report describes the results of our research in 1997. Our strategy is discussed in the introduction of every topic. It also gives the results of the research done at the different Flemish universities in collaboration with IMEC. Next to this scientific report, IMEC also publishes a general annual report with a short description of our activities and with financial data. A copy of this report can be obtained on request. Roger Van Overstraeten President of IMEC II. History and organization IMEC vzw is an Interuniversity Microelectronics Center, set up in 1984 by the Flanders Government in Belgium as part of a comprehensive program in the field of micro-electronics. The laboratory houses over 3,600 m2 ultra clean processing area, 6,710 m2 computer room and utilities, 10,400 m2 offices and supporting laboratory space and 810 m2 cafeteria and training facilities. The R&D facilities include: - a VLSI design methodology laboratory; - a 6" pilot line for submicron CMOS processing; ...... a pilot line for MMIC-HEMT; - a pilot line for multi-chip modules; ..... a microsystems laboratory; ...... an ultra clean silicon processing facility; - research facilities for materials and devices; a pilot line for crystalline silicon solar cells; - a physico-chemical analysis laboratory; - automatic device and circuit measurements; - packaging and testing equipment; - reliability studies. The annual budget is about 2.7 billion BF. The organizational structure is shown below Associate Vice-Presidents are: • Dr. R. De Keersmaecker, reporting to the President and in charge of key projects. In 1997 he was seconded to FFIO (Flanders Foreign Investment Office) in order to coordinate and manage investments in IC fabs in Flanders. In 1998, he will continue within this position; • Dr. H. Maes, reporting to Vice-President R. Mertens in charge of Analysis, Reliability and Non-Volatile Memories and educational programs. He is also project leader of the important ACE project; • Dr. P Six, reporting to I. Bolsens and responsible for the design methodology transfer to external users. Several staff members of IMEC are teaching at one of the universities. Professors at the K.U.Leuven are: R. Van Overstraeten, H. De Man, R. Mertens, G. Declerck, R. De Keersmaecker, H. Maes, K. De Meyer, M. Van Rossum, S. Borghs, C. Claeys, J. Nijs, W. Vandervorst, E Catthoor, L. Claesen and K. Maex. IMEC has a typical matrix structure. The study of basic technologies (submicron silicon processing, design 126 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana P© : ' ; y,, y «ISS lili râ» IlISlillpP jj; r, i ïyvv « »s> H ::/'•>» j > J i - i1 I ¡»•i "V ' C v - liitÄ & ..¿M WÎS0Ê&' issÄlli v, ' t' - ,"*"»» r 1 ■v b H t«* v s^a < methodologies, materials and packaging, and training) is organized in different divisions. They contribute to the basic development of these technologies in collaboration with many international partners. Many integrated projects, however, make use of the different technologies. Members of the Patent Review Board are: • VLSI Systems Design Methodologies Division: I. Bol- sens - H. De Man - M. EngeLs - Advanced Semiconductor Processing Division /Materials and Packaging Division: R. Mertens - H. Maes - L. Hermans - J. Poortmans - L. Deferm - M. Heyns -M. Van Rossum - L. Van den hove III. Interaction with educational institutions and research laboratories It is IMEC's mission to contribute to the strengthening of the research potential of the Flemish universities in microelectronics and related fields. As one could expect, the closest collaboration has been established with the Electronics Department of the three Flemish universities offering a degree in electronic engineering: the K.U.Leuven (University of Leuven), RUG (University of Gent) and the WB (University of Brussel). The following facilities are made available to these institutions: • state-of-the-art software and hardware for the design of integrated circuits; • prototyping of semicustom and full custom semiconductor devices, including sensors; ® equipment and personnel for complementary research at the laboratories. IMEC also encourages university students to do research in preparation of their Master's or Ph.D. thesis. In 1997 they represented a group of more than 100 persons. The research includes signal processing, optoelectronics, MMICs, reliability, computer architectures, sensors, image processing, new semiconductor structures and devices, ... Through the INVOMEC division, state-of-the-art design software and hardware is also made available to the 13 Flemish higher polytechnical schools. The R&D activities of the INTEC (Department of Information Technology) laboratory of the RUG and those of the ETRO (Electronic and Digital Signal Processing) laboratory of the WB are fully coordinated with IMEC's activities. INTEC's research efforts are directed towards broadband communication, including optoelectronics and high-speed/high-frequency cir-cuits. ETRO's activities concentrate on hardware architectures for image compression, on optoelectronic and optical components and systems for communication. Full account of 127 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana these R&D activities is given in part II of this report. The R&D activities of the microelectronics group of the Kathotieke Hogeschool Brugge-Oostende and the related contract research are also fully coordinated with IMEC. The collaboration with the other university research groups has been consolidated and expanded. The most important collaborative projects were: - with the LUC (University of Limburg) on reliability of electronic material systems and electronic components; on precursor preparation for ferroelectric nonvolatile memory research, on LASIMS analysis; - with the UIA (University of Antwerpen) on electrical transport in low-dimensional semiconductor structures, on ultra-fast switching and relaxation processes in lll-V heterostructures and devices, on con-centration-depth profiles of semiconductor structures, on organic semiconductors and light emitting semiconductors; on TEM and TOFSIMS analysis methods; - with the Physics and Chemistry Department of the K.U.Leuven. Topics include high-Tc superconductors, atomic force microscopy (AFM), silicides, X-ray diffraction (XRD), Raman spectroscopy.. The collaboration with the Electrical Engineering Department focuses on mm-wave and microwave circuits and systems, on parallel VLSI-architectures for non-linear diffusion for front-end vision, on DSP algorithms and architectures for digital communication systems, on design methods for microsystems, software environment for reai-time emulation of complex DSP; - with the RUG on massive parallel information processing based on hybrid Si and lll-V optoelectronic components; - during 1997 the Information Technology Action Program launched by the Flemish Government has been continued. Within this framework IMEC performs research in close collaboration with all Flemish universities concerning: - design and control of broadband networks for multimedia applications; - integration, management and processing of images for high-end applications; - integrating signal processing systems; - In September 1997 a Teleclassing course on Telecommunications was started. The course is a joint initiative of IMEC and the Flemish universities. Lectures are broadcasted from the IMEC site to 8 different university and industry locations. Over 400 students have already registered for this course. In collaboration with the K.U.Leuven, IMEC organizes several graduate level courses on the physics and technology of microelectronics and related materials sciences. The main courses are: e solid-state physics (fundamentals, optical properties, metastabie and amorphous materials, modulated structures); • thermodynamics, kinetics and related topics in materials science; 8 physics of advanced micro devices; • fundamentals of defects in materials; ® physics of beam-solid interactions; ° materials characterization techniques; • continuum modeling of material properties; • basics of VLSI processing; 8 design and analysis of experiments. In the European Union, IMEC is also involved in a number of Networks of Excellence. These networks help In coordinating and focusing research in Europe. Through the Large Scale Facility Program of the European Union, IMEC makes its silicon compiler software available to several users, allowing them to make designs of advanced real-time digital signal processing chips. Besides these actions supported by the European Union, IMEC continues to collaborate with foreign research centers on large projects, beyond the scope of one single laboratory or on projects where the complementary nature of the laboratories can lead to synergetic effects. IV. Doctoral research at IMEC As an inter-university research center IMEC conceives as its important mission the integration of graduate education and research in strong collaboration with the academic community in Belgium. Study and research at the graduate level have always held a prominent place at IMEC. PhD students have important roies in wide-ranging research activities; this participation is vital to the educational experience of students and to the success of the research itself. IMEC started a new PhD program aimed at inviting candidate students from renowned universities, colleges and technical institutes all over the world to continue their studies at IMEC. This program, coordinated by a board representing the scientific divisions and associated laboratories will strengthen and enlarge our high quality scientific base. The universities associated with IMEC award doctoral degrees m engineering, physical sciences, and chemistry and graduate students at IMEC are registered also at the university of Leuven, Gent or Brussel. Financial aid is arranged through IMEC and the doctoral degree will be awarded by the university at which the student is registered. The requirements include amongst others completion of an acceptable thesis prepared at IMEC. Students normally start research in October. In a period of 4 years we intend to create about 30 extra positions for highly qualified PhD students. V. Interaction with industry and with other research institutions An important funding is coming from the Government of Flanders. This allows IMEC to perform strategic, own internal research and to build valuable "background information" (Bl). Such background information constitutes an excellent and attractive basis for cooperation with industrial partners, allowing them to optimize, by using IMEC's background information, both the com- 128 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana plementary costs needed for dedicated joint R&D projects (foreground information) and, even more important, the time-to-market. During its thirteen years of existence, IMEC has built up a proven ability to understand and to meet industrial needs and requirements in a quickly evolving and highly competitive environment. As such, IMEC stands out as a major independent research resource for industry. IMEC has developed a variety of specific formulas of interaction as a strategic technology partner for industry in which flexibility quality of research and an in-depth knowledge of the industrial roadmaps are crucial factors of its success. Within its overall microelectronics R&D strategy IMEC is concentrating on a number of R&D areas, as discussed in more detail hereafter. The major drive is to build an internationally accepted leading edge competence, leading to industrial applications. As part of its strategy IMEC is cooperating very closely with a worldwide network of industrial top performers with a view to interacting with them on strategically important topics in an early stage of research. Furthermore, as IMEC's background information portfolio (with own intellectual property rights (IPR)) has grown substantially over the years, more and more application-oriented research is being conducted with both local and international industrial partners. The interaction with industrial partners also constitutes an essential complementary funding, as the costs for deep submicron microelectronics research are increasing rapidly allowing IMEC to maintain its leading edge position. IMEC interacts with industry in several ways, such as: 1 IMEC's Annual Research Review Meeting (ARRM). Industrial partners enrolling for IMEC's ARRM are not only having access to IMEC's R&D strategy and orientation at an early stage, they are also automatically informed about major IMEC scientific breakthroughs through its annual reports, scientific report, IMEC newsletters, articles,... Furthermore IMEC organizes about 130 topical seminars per year to which such industrial partner (enrolled for the ARRM) are entitled to participate free of charge. IMEC's ARRM has grown over the past 7 years to become a very dynamic and high level platform gathering about 120 topmanagers from all over the world. The ARRM '98 is scheduled for November 18-19. Subscription is also possible through Internet at IMEC's homepage (http://wwwimec.be) under the heading Industrial Window. 2 IMEC's Industrial Affiliation Program (IIAP) is a real R&D cooperation scheme, which has grown into a very popular way of cooperation. IMEC's IIAPs allow for collaboration between industrial researchers and an IMEC research team focused on a specific topic or technology area. As part of this collaboration, the relevant technology owned by IMEC (IMEC's background information or Bl) in this specific area can be transferred to the industrial partner. IMEC has selected a limited number of research areas in which it has built up a considerable Bl. Based upon this Bl, IMEC is setting up research programs involving an important core of IMEC researchers. • Industrial partners are Invited to join such IIAP research program, in a well defined research area, on a bilateral basis. This means that IMEC and the industrial partner define together, on a bilateral basis, a project fitting in IMEC's overall IIAP research program but allowing the industrial partner to tune the bilateral project to some of his particular needs. Once such bilateral technical scope is being agreed upon between the industrial partner and IMEC, the project results (deliverables) are being defined as well. Each industrial partner joining IMEC's IIAP program is invited to delegate a specialist to IMEC's site (industrial resident). Such industrial resident is executing, at IMEC's site the project in close cooperation with IMEC's research team in that field. If a full time residentship is not feasible, a solution for a smaller period of residentship can be allowed. Experience shows that a presence of at least 6 months per year is contributing to a higher and more intense transfer of IMEC background information to the industrial partner, further increasing the benefits for the industrial partner. • With respect to intellectual property rights (IPR), and for each bilateral project, the results are labeled as follows: - the more generic or methodological type of results which are also based upon IMEC's background information are co-owned by both IMEC and the industrial partner, without any accounting to each other. This means that each party can freely use such results. Such results are also shared with other industrial partners joining up IMEC's IIAP program. Such results are labeled in the agreement as R1 results; - company specific data or confidential information: such results are labeled as R2 results and are the exclusive ownership of the industrial partner. The IPR labeling of the deliverables (as R1 and R2 results) is done before the project starts and forms pan of the agreement (technical annex of the agreement including the deliver-ables and IPR labeling). The industrial partner furthermore gets access to both: - IMEC's background information in the research domain specific to the IIAP; ..... the R1 results of the other industrial partners being active in the same IIAP • The IIAP formula is offering a multitude of advantages to the industrial partner, such as: - access to strategic IMEC background information at an early stage; -- access to other R1 (shared)-results from other partners in the same IIAP; 129 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana - the industrial researcher is taken up into the IMEC mixed research team to execute the HAP project. This intensifies the process of transfer of technology and shortens the learning curve; - flexible interaction between the research team at IMEC (including the industrial researcher) and the industrial headquarters; ..... each IIAP contract is conducted on a bilateral basis, allowing for tuning and confidential information (R2 results) as agreed upon; ..... each IIAP contract is standard as well as the corresponding prices. • Hence, the IIAP approach is offering a number of leverage effects: - pricing leverage: through the cost-sharing principle of R1 results; information leverage: each industrial partner gets access to much more information (project information, IMEC background information, R1 results of other program partners) than its financial commitment; - cross-fertilization leverage: through the sharing of R1 results and through a good combination by IMEC of leading edge partners, also coming from complementary fields (e.g. foundries, equipment manufactures, material suppliers) but interested in the same research topic, a rich cross-fertilization effect is being generated; - time-to-market leverage: through the IIAP mechanisms, and industrial partner is getting a competitive advantage in both strategic results and rapid time-to-market. • IMEC currently has Industrial Affiliation Programs in the following areas: - Advanced Optical Lithography, 365 and 248 nm; - Optical Lithography 193 nm; - Ultra Clean Processing Technology; - Environment, Safety and Health; - Salicide Technology; - Back-End Technology encompassing the following slots: • Advanced Metallization; • Low-k Dielectrics; • Chemical Mechanical Polishing; ..... Advanced Silicon Materials and Devices (<0.1 pm); - Integrated Ferroelectrics; ....... Multimedia Image Compression (MPEG-4); - High Speed Wireless Local Area Networks (WLAN). 3 The Industrial Residentship (IR) formula allows an Industrial partner to make use of the available IMEC state-of the-art infrastructure and/or expertise and background information to perform more application oriented research and development, at IMEC's premises. This type of cooperation is more application driven. Apart from a hosting fee, a background information fee (royalty) is being charged for the use of such IMEC background information (if any). The Industrial Resident performs his project at IMEC (duration depending on the nature/complexity of the project) being assisted by IMEC experts (including appropriate training, if necessary). 4 Cooperative projects (straightforward contract research), either bilateral or multilateral (e.g. through R&D programs of the European Commission), can be conducted in the various research domains of IMEC's R&D strategy based upon clearly defined and agreed upon technical specifications and contractual terms. 5 Training courses on VLSI design (both digital and mixed mode or analog) as well as prototyping services are offered through the INVOMEC division. IMEC is also deeply involved inthe EUROPRACTICE project of the European Commission on ASIC, MCM-D and microsystems. IMEC is also recognized by the European Commission as a Large Installation Program (LIP) site for design technologies. 6 An increasing variety of well proven, IMEC owned, complete processing technologies (photovoltaics, CMOS (0.7pm, 0.5pm, 0.35pm and 0.25pm (under preparation)), a Flash EEPROM non-volatile-mem-ory HIMOS (0.7 pm and 0.35 pm) and BICMOS (0.5 pm and 0.35 pm) are offered to industry through appropriate licensing agreements and associated technology transfer and training actions. All dedicated process modules can be transferred. In 1997, IMEC collaborated with a wide variety of companies and research institutions from the following countries: Austria (5), Australia (1), Belgium (82), Brazil (2), Canada (2), China (2), Czech Republic (4), Denmark (7), Finland (7), France (50), Germany (78), Greece (6), Hungary (5), India (1), Ireland (8), Israel (4), Italy (31), Japan (2), Korea (1), Lithuania (2), Mexico (1), Moldavia (1), Norway (6), Poland (7), Portugal (4), Romania (1), Russia (4), Singapore (2), Slovakia (6), Spain (13), Sweden (13), Switzerland (11), Taiwan (1), Thailand (1), The Netherlands (22), Ukraine (3), United Kingdom (50), United States (27), White Russia (1) For more detailed information about IMEC's collaboration partners and figures, see our annual report which is available on request at the Public Relations Department. In 1997, IMEC carried out research contracts for 1418 million Belgian Francs (36 million ECU), which represents a further growth as compared to 1996 of 20.6%. Besides the numerous bilateral contracts, IMEC was also involved in 78 R&D contracts with the European Commission. IMEC was also participating in different MEDEA projects as well as in the ESPRIT/ACE project (Advanced CMOS in Europe, i.e. development of 0.18 pm CMOS front-end & back-end technology. IMEC's involvement in R&D projects for the European Space Agency (ESA) was comparable (in absolute terms) to 1996. 130 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana Interaction with the Flemish industry 1997 was also characterized by a rapidly growing interaction with the Flemish industry This fast growing interaction, both in terms of contract research income (485 million Belgian Francs or +7% compared to 1996) and the number of industrial partners (62 different contract partners in 1997 as compared to 52 for 1996 or +17%) is further underpinning the economic dynamics in the Flanders region. IMEC expresses its gratitude to IWT (Institute for the Promotion of Scientific and Technological Research in the Industry) who has been acting as an important catalyst through its industrial cofunding policy VI. Flanders is an attractive and dynamic location for new IT driven industrial activities The internationally recognized expertise of IMEC and its close collaboration with the highly reputed Flemish universities, is creating new industrial dynamics in the field of IT (Information Technology) around a number of complementary axes: 1. Design oriented activities Together with a number of partners, IMEC created the DSP Valley initiative in 1994. DSP Valley is regrouping a unique concentration of over 400 DSP experts in the Leuven area alone and is enhancing a fast growing use of DSP in innovative new applications. Up to now already 11 companies and research institutions have been clustering together in a dynamic and complementary way. Further rapid expansion is being expected in 1998. Next to a fast growing number of existing, fabless systems design oriented companies - both small start ups and multinational companies - a new joint (K.U.Leu-ven/IMEC) spin-off company in the field of analog design was decided upon end of 1997. DSP Valley is being recognized as a cluster by the Flemish Government in 1996 and is teaming up with the Flanders Language Valley initiative, which concentrates on speech processing activities. Flanders is indeed offering a world class expertise in this field with leading edge companies. Also a increasing number of foreign systems design oriented companies are planning to settle down around IMEC and to become a member of DSP Valley. 2. IC manufacturing Over the past years IMEC has been establishing a truly international network of process technology partnerships with a wide variety of IC manufacturers all over the world (such as Alcatel Mietec, AMD, Cypress, EM, GPS Plessey, Intel, Micronas-Freiburg, Motorola, NSC, Philips, SGS Thomson, Siemens, Sony, Thesys/AMS, Tl, Tower, TSMC,...), with strategic equipment suppliers (Applied Materials, ASM International, ASM-L, Balzers, Steag Pokorny, TEL. ...) and with material suppliers (such as Ashland, BOC, JSR, Olin, Wacker). Based upon this unique concentration of very advanced semiconductor processing technology expertise, the Government of Flanders took a principle decision on July 25,1996 to start a concentrated action, aiming at attracting IC fabs to Flanders in the coming years. The Flemish region is indeed a very attractive location for many reasons: - a unique availability of excellent expertise in IC process technology and other - related R&D expertise at IMEC; - a well elaborated education system, tailored towards IT; - rapidly growing system oriented, fabless design industry and a take-off of an advanced IC-packaging industry; - 10 IC fab units and a widespread IC fab support industry available within a one hour drive distance; - very attractive sites for IC fabs, next to dedicated science parks for more design oriented, fabless activities; - very competitive conditions for large volume consumption of water and electricity; very high standard of working ethics and productivity; - multilingual quality of the labor force; ..... central location towards the customers of IC fabs (UK, France, Germany, the Netherlands, Switzerland, Austria, ...) and very close to Brussels, the HQ of the European Union; - attractive incentive packages and opportunities for cofunding of strategic R&D; - high quality of life. A site suitability analysis of two locations in the province of Limburg has been completed, including air, water and soil quality vibrations and geotechnical conditions. Both sites of over 40 ha (100 acres) received the label "excellent" from the German engineering and construction company Meissner + Wurst (M+W) GmbH + Co, a member of the Jenoptik group. Presently a simulation of the location of the manufacturing modules and of the facilities is being performed and an application for the operating permit is being prepared. This pro-active attitude of the regional development authority will shorten the time-to-market for any interested investor. In a joint effort with Flanders' Foreign Investment Office (FFIO), Meissner + Wurst is considering several new business models that would make it much more cost attractive for semiconductor companies to locate their business in Flanders. One of the models is to form a consortium to finance the building of the complex and the facilities and provide them on a lease basis to one or more semiconductor manufacturers. This model represents an innovative form of partnership and would result in a smaller cash lay-out for the semiconductor company. Also, having a turn-key contractor run and maintain a centralized complex, including a co-generation plant, the ultra-pure water distribution, the chemical and gas management, the waste treatment and safety systems, will also result in a considerable increase in efficiency and thus an overall cost-reduction and reduction in environmental impact. The facility financing, installation and management could also include the processing equipment. The preliminary design provided by the 131 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana A.M.A. architects group for the "science park"-style facility also provides room for a Technology Center, which would house centralized training facilities, but also e.g. equipment development labs and office space for design and fabless companies. For any further inquiry on these opportunities for settling down in Flanders, please do not hesitate contacting Dr. Roger De Keersmaecker, former project manager of the JESSI/ESPRIT project ADEQUAT on 0.25 /im/0.18 ¡jm CMOS technology who has been seconded from IMEC to the Flanders Foreign Investment Office (FFIO) in order to coordinate and to manage such foreign, inward investments towards Flanders. Dr. R. De Keersmaecker can be contacted at tel. +32 2 5073852, fax +32 2 5073851, e-mail: Flanders@ffio.be. 3. Packaging industry Based upon a new Plastic Stud Grid Array (PSGA) technology jointly developed by IMEC and Siemens, a commercial launching of this new IC-packaging technology is being prepared by Siemens. This PSGA IC-packaging technology offers unique advantages (both in terms of price per pin-count and of performance) for complex chips with high pin-counts. Also in the field of MCM-D and IC packaging assembly new industrial activities are being prepared. 4. Microsystems Finally based upon IMEC's expertise and technology in the field of microsystems, a number of new industrial initiatives (based upon smartpen technology, image CMOS sensors,... ) have been created. Other initiatives are under consideration. In conclusion, we can state that the Flanders region is preparing a strong growth in 4 complementary fields, being: • design oriented activities; • IC manufacturing; • packaging activities; 8 microsystems; based upon a world leading technology expertise supported by IMEC and the Flemish universities. To support this evolution, a new science park approach, as well as fully equipped sites for IC production facilities, are being prepared. In addition, a new IT venture capital fund "TT Partners" has been set up in 1997, as an initiative of IMEC. IT Partners is an independent, 75M$ venture capital fund, specialized in ICT. The availability of such specialized fund shall further boost the IT driven industrial activities in the region. The annual report with more detailed information about facts, figures and collaboration possibilities is also available on request. Extra copies of the Scientific Report and the Annual Report can be applied for at the Public Relations Department. Please contact: Marianne Van den Broeck, Head of Public Relations, Kapeldreef 75, 3001 Leueven, Belgium, e-mail: info@irnec.be Electronic version, see http://www. imec. be/5/5.2.2. html CMP - Circuit Multi Projects I - INTRODUCTION A - Generalities A large number of complex technological operations are required for integrated circuit fabrication, but circuits are cheap, due to the fact that most of those operations are repetitive. Each processed wafer of silicon is cut into hundreds of dice. For some of the slowest and costliest operations, "boats" of hundreds of wafers are processed together. That means that tens of thousands of circuits are fabricated simultaneously. By this high number, industry can tolerate relatively low yields. For non collective operations, such as test and packaging, operations are highly automated, using mass production techniques. These very expensive techniques, aimed primarily at mass production, seem out of reach for research and educational centers for integrated circuit design. However the design of a circuit by students must be pursued to its conclusions, which means fabrication, but a student will only require a few chips and mass production is not necessary. The basic idea of a multiproject chip is to collectively process circuits that are different and dissimilar. High fabrication costs can then be shared. To do so, a great number of elementary circuits are put side by side, to be reproduced on the wafer. The fabrication yield must be excellent at least constant since circuits cannot be tested before being sent back to the designer. This good yield is obtained through industrial production processes. Small volume production is aimed at helping Small and Medium size Enterprises to get relatively small numbers of circuits (say a few hundreds or a few thousands), that 132 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana they would not obtain from directly buying from manufacturers. A center like CMP is then interfacing the IC manufacturers and the SMEs. B - Setting up a multiproject chip Setting up a multiproject chip requires a lot of documents and files to be distributed to the users, mainly: » the design rules, 8 the cell libraries » the assembly rules, • the procedure to submit a circuit for fabrication Design rules The design rules distributed to the designer are the whole set of rules provided by the manufacturer. In the past CMP also distributed simplified design rules (portable " lambda " rules) for digital CMOS circuits but they were not supported for processes below 2 fj gate's length. Cell libraries This concerns the availability and the use of the design kits: cell libraries interfaced with various CAD tools. These design kits are distributed and supported by CMP, as detailed in the appendix 9. Assembly rules They include all the recommendations and specifications for packaging. They are in the document "CMP Reference Manual for Integrated Circuits, MEMS and MCMs manufacturing" (available on the CMP Web site (http://tima-cmp.imag.fr/CMP/CMP.html): a paper version is provided on request. Procedure to submit a design In the same document are presented all the rules for submitting a design: design submission forms, how to transmit the circuit, etc... , C - Low volume production Besides the manufacturing of prototypes CMP has offered facilities for low volume production for several years. Low volume production is often not commercially attractive for most of the silicon vendors, leading to high prices for the customer. Indeed the equipment of a foundry are provided for minimum quantities which can be beyond the needs of customers. Thus low volume production can be defined as intermediate requirements between prototyping, typically 5 to 20 samples, and the minima acceptable by the foundry, typically some thousands or more. Such quantities correspond to real needs in many cases. For example: - the circuit is part of a system which requires several samples, - the circuit is very specific and must be produced in small quantities only, - a Company wants a pre-production before high volume, - SMEs do not have large production quantities in general, - a Research Laboratory wants to make a demonstrator of its prototype, - ...etc. This trend is exacerbated because of the flourishing microelectronics business today: silicon vendors are more and more reluctant to take orders for small quantities. CMP has extended its infrastructure, already in place and widely experimented for prototyping, to low volume requirements. In this way, any request, not directly manageable by the manufacturer, is studied and a proposal is offered. How are handled these low volume requests ? The first step is to help the customer to determine the right number of samples to be produced taking into account the yield of the process which depends on the size and on the complexity of the circuit. If the final volume required is sufficiently low, the circuit will simply be included in a normal prototyping run, sharing silicon, and hence cost, with other customers; this will be the cheapest solution. If the required volume is sufficiently high to fill a single chip run, such a dedicated run will be launched, for a number of wafers in accordance to the volume required. If the required volume is intermediate, other solutions will be checked like: - spreading the production over several multi chip runs, - sharing the wafer with another small production circuit, - ...etc. Once the wafers are fabricated CMP manages sawing and packaging. If the customer wants tested chips, CMP looks for the best testing facilities which can fit the specifications of the customer in order to make a complete proposal to him. Good relationships with test houses are mandatory. CMP maintains relationships with several such test houses, like DELTA. DELTA is also used for its connections to FarEast for plastic packaging. In any case, the price is calculated for the exact user's requirements i.e. customized to each case. Due to the increasing number of chips per wafer (the size of wafers is becoming bigger and bigger, 6 inches, 8 inches,12 inches...while the chips, for the same complexity, become smaller and smaller because of down-scaling, .8 /j, .5 /j, .35/J,...) low volume production is becoming cheaper and cheaper, and responds to an increasing demand corresponding to the spreading of electronics In general. 133 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana D - Operations performed for ail projects • Selection of processes Processes made available have to be selected by anticipating the needs of Industry. Furthermore maintaining a portfolio of advanced technologies requires a continual adaptation. New advanced technologies are regularly introduced every year. After selection of a new process all the procedures, interfaces and conditions have to be examined, in particular: ® what layout design rules could be used, and distributed, • what standard cells are available, for what CAD tools; in some cases CMP will adapt a cell library to an existing CAD tool, • what electrical measurements (PCM) will be done by the manufacturer and available to the users, 8 how the circuits could be merged, scribed and packaged, 8 what regulations apply for this technology to send off the circuits. Finally a contract is signed between CMP and the manufacturer. • Development of CAD design kits to link CAD and MPW To make it easier for designers to design circuits, especially standard cell based circuits, it is necessary to provide them with facilities on CAD software. When the design kit to address one process with a specific CAD suite is not provided by the CAD vendor or the process manufacturer, then the MPW Service should be keen to manufacture the design kit. CMP for example designed several design kits to address processes from AMS, ATMEL, ES2, VSC from CAD tools like those of CADENCE or TANNER, etc... . In the appendix 9 there are the design kits available for processes offered by CMP. It is to be noted that, besides the usual design kits for major CAD tools like CADENCE or MENTOR GRAPHICS, other kits are now available for PC tools (VIEWLOGIC, TANNER...), especially for SMEs. • Distribution and support of the design kits and design rules Most of the design kits are delivered by CMP free of charge and on condition that the designed circuits are fabricated through CMP runs. To get a design kit the user has to send a case to CMP indicating in particular the purpose of the circuit and the date of manufacturing. After acceptance, he signs the specific confidentiality agreements according to his request, and receives the design kit (normally within one month, depending on delays for export regulations). Transmission is done through network as much as possible. The detailed list of the available libraries and kits is maintained on the CMP Web site. • Development of software tools for checking and merging the circuits E - Operations performed for each project • Reception of circuits Circuits layout are transmitted either by magnetic tape or by network. Descriptions are written in "GDSII" or in "CIF" format. They are first checked against syntax errors. • Design rule checking All the circuits are checked for layout rules or electrical rules errors (DRC and ERC from CADENCE-DFWII and DRACULA softwares). Errors detected are sent to the users, then corrected ; next the circuit is included in the run, or postponed if not corrected. • Merging circuits in dice When all the circuits are checked, the wafer mapping is done ; circuits are surrounded by a scribe line and by appropriate identifications, then the tapes are generated for the manufacturer. • Wafer processing CMP receives PCM tested wafers (wafers which follow the electrical specifications of the manufacturer). The functional test of the circuits will be done by the user. • Circuit slicing • Sorting of circuits • Post processing (if MEMS devices) • Packaging of circuits At least 5 samples of each circuit are presently encapsulated, all passivated; more can be done at user's request. • Delivery to the end user Packaged chips are sent to the end user with possibly a color plot of the circuit(s). • Invoicing • Test results for French educational circuits For each French educational circuit fabricated, a testing result report (form available on the WEB site) has to be sent to CMP. The reports for the circuits fabricated in 1997 are available on request. A detailed review of French and foreign CMP projects can be found in our 1997 Activity Report. CMP 46 avenue Felix Viallet 38031 Grenoble Cedex, France Tel.:+33 4 76 57 45 00 Fax: +33 4 76 47 38 14 E.mail: cmp@imag.fr http://tima-cmp.imag. fr/CMP/CMP. html 134 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana NMRC - National Microelectronics Research Centre 1997 was an extremely successful year for the NMRC with solid progress being made across a broad range of activities. With a total turnover exceeding £ 8m, 1997 was our busiest year to date: • Total research income at £ 5.2m (64% of our total budget) was the highest ever; • Income from Irish industry, representing the broad range of training, services and high technology projects carried out in collaboration with this important sector, was at an all time high at £ 1.3m; 8 We were very pleased that the Dept. of Enterprise, Trade & Employment raised its subvention to NMRC to £ 1.95m, 23% of our overall budget. The increase in the Department's support for the Centre reflects an acknowledgment of our growing contribution to the Irish electronics industry, both indigenous and multinational. ADVANCED RESEARCH FOR INDUSTRY Devices BV in Limerick, and the completion of a production prototype of an Integrated Environmental Sensor, licensed to Bourns in Cork. 6 Million 5 Million 4 Million Million 2 Mi liar > u i.ii. i, i p...., ■ £6,173,10 i 1984 1887 112 1817 The Industry Interaction section of this Report gives a flavour of the increasing range and variety of the many services we now provide to Irish industry, both indigenous and multinational. The opportunity to further deepen this interaction will depend upon the increasing growth and sophistication of our in-house technological capability. Consequently, we decided last year that we would initiate a new program based on longer-term technological research, in addition to the medium-term, mainly product focused research, in which we currently specialise. To this end, during 1997 we recruited 10 post-doctoral fellows, whose areas of activity are oriented towards more emerging, but very important areas oftechnology. These activities include IC fabrication modeling and metric improvements, nano-technology, computer modeling of the electronic structure of molecules, and advanced IC package modelling. They will allow us to grow our capability in those areas of interest to large multinationals currently in Ireland who may be considering the addition of some aspects of product development to the range of activities they carry out in this country. This brings to 36 the number of PhD's now working at NMRC, and we plan a further 25% increase in this number during 1998. In addition to the above, significant new advanced research was begun with European industrial clients during 1997. Work on parametric extraction and statistical analysis for 0.18 ¡jm CMOS technology commenced with Philips, while work on the important topic of Copper interconnect, also for 0.18 ¡um technology, was started with Siemens and SGS-Thomson. INNOVATION There was a welcome increase in our licensing and patenting activity during 1997, the highlights of which were the licensing of two IC Design Patents to Analog During 1997, we decided to implement a strategic approach toward the commercialisation of our technology that reflected the uniqueness and sophistication of the technological critical mass we have grown over the 15 years of our existence. Consequently, following a report carried out by an external consultant in the last half of 1997, it was decided to set up an Innovation Office, staffed initially by an Innovation Manager, together with commercialisation experts. This office, which we intend to set up immediately, will focus on the development of new business, the promotion of services, and negotiations with strategic partners. It will also provide a technology foresight service covering market trends, developments in EU / National policy and legislation relevant to the NMRC. Finally, it will provide a greater level of support for the protection and commercialisation of the growing amount of intellectual property within NMRC. ORGANIZATIONAL CHANGES A number of major organisational changes took place at NMRC during 1997. Prof. L. Kelly left for a two year leave of absence to work in industry and Dr. Gabriel Crean, Group Director of Materials, was promoted to Assistant Director NMRC, with the additional responsibility of acting head of the lll-V Group. Because of the many synergies between the work of the Materials and lll-V Group, it was decided to merge them into a new Group, the Advanced Materials and Technology Group (AM&T) under the overall leadership of Dr. Crean. The growing area of microsystems, and its potential importance to certain sectors of the non-electronics industry within Ireland, in particular the food, pharmaceutical and medical instrumentation industries, was recognised by us with the establishment of a separate Microsystems Group within NMRC. 135 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana Dr. Cian O'Mathuna, formerly in charge of PEI Technologies within NMRC, was appointed Group Director to head this new initiative. Microsystems which are intelligent miniaturised systems, comprising sensing, signal processing and actuating functions in single or mul-tichip formats, demonstrate very effectively the true nature of microelectronics as an enabling technology. This was further illustrated during 1997 by our first collaboration with an indigenous food company Kerry Ingredients, together with other partners, in an EU ESPRIT project to develop a demonstrator for the in-line analysis of protein, fat and solid content in milk. LABORATORY EXPANSION Two major laboratory expansions got underway during 1997. The first of these was a doubling in the size of the "mini fab" from 80 to 170 sq. metres. This will be used to enhance our in-house microsystems fabrication capability as well as allowing an increase in our nonCMOS compatible processing capability. Second was the establishment of an Environmental Science Laboratory to provide services to the microelectronics industry in the areas of waste-stream analysis, and plastic packaging recyclability testing. EDUCATION During 1997, the number of our postgraduate students increased from 84 to 89, including 33 studying for the PhD Degree. The current healthy state of the electronics industry in Ireland, and the high demand for electronics graduates, reflected itself in the large number of our post-graduates who registered for part-time degrees, 45 in 1997 compared to 35 the previous year. In addition to formal academic courses, we also provided a variety of training courses to industry specifications last year. This ranged from advanced courses such as that given for Zandar Technologies in Dublin on VHDL Synthesis, through to specialised technician training for a number of IC manufacturing companies. An interesting and very successful educational initiative, which we jointly developed with FAS last year was the provision of a course on the operation of IC process equipment for people on the unemployment registrar who do not possess any third level qualification. The course provides a route for employment in the high technology sector previously not available to such people. The course excited a high degree of interest from industry, and the resulting demand is such that we now plan to run 4 additional such courses, together with FAS, during 1998. £ ¡¡SHI to ■■■■ u> H8K CN HHHr ■ o fM -JCZ3 1 1982 1987 1902 1997 NMRC National Microelectronics Research Centre University College, Lee Maltings, Prospect Row, Cork, Ireland Telephone: +353 21 904177 Fax: +353 21 270271 E-mail: admin@nmrc.ucc.ie WWW: http://nmrc.ucc.ie/ 136 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana KOLEDAR PRIREDITEV 1998 CALENDAR OF EVENTS SEPTEMBER 1998 08.09.98- 10.09.98 28 th EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 98) Bordeaux, FRANCE Info.: www.essderc.org 14.09.98- 17.09.98 4th INTERNATIONAL SYMPOSIUM ON 193-nm LITOGRAPHY Telfs, Tyrol, AUSTRIA Info.: + 31 40 230 3313 15.09.98- 16.09.98 4th INTERNATIONAL ASSEMBLY AND PACKAGING FOUNDRY CONFERENCE Sunnyvale, CA, USA Info.: + 1 408 268 4670 16.09.98- 18.09.98 HW, SW CODESIGN, MEDEA/ESPRIT CONFERENCE Grenoble, FRANCE Info.: +33 476 57 47 59 16.09.98- 18.09.98 BACUS 1998 Redwood city, CA ,USA Info.: + 1 360 676 3290 20.09.98-23.09.98 4th INTERNATIONAL SYMPOSIUM ON ULTRACLEAN PROCESSING OF SILICON SURFACES - UCPSS 98 Oostende, BELGIUM Info.: + 1 408 996 9975 22.09.98-24.09.98 MNE 98 Leuven, BELGIUM Info.: e-mail info@timshell.be 23.09.98 - 24.09.98 MICROELECTRONIC MANUFACTURING SYMPOSIUM Santa Clara, CA, USA Info.: + 1 360 676 3290 23.09.98 - 25.09.98 9th ANNUAL SEMI/IEEE ADVANCED SEMICONDUCTOR MANUFACTURING CONFERENCE AND WORKSHOP Boston, MA, USA Info.: + 1 202 289 0440 2.3.09.98-25.09.98 MIDEM CONFERENCE 98 Rogaška Slatina, SLOVENIJA Info.: + 386 61 312 898 27.09.98 - 29.09.98 4th INTERNATIONAL WORKSHOP ON THERMAL INVESTIGATION OF IC's AND MICROSTRUCTURES Cannes, Cote d'Azur, FRANCE Info.: +33 4 76 57 48 04 OCTOBER 1998 19.10.98-21.10.98 23rd IEEE/CPMT INTERNATIONAL ELECTRONICS TECHNOLOGY SYMPOSIUM Austin,T X, USA Info.: + 1 650 940 7972 20.10.98-22.10.98 INTERNATIONAL TEST CONFERENCE 1998 Washington DC, USA Info.: fax 202/331 0111 NOVEMBER 1998 03.11.98-05.11.98 6th CONFERENCE ON MATERIALS AND TECHNOLOGIES Portorož,SLOVENIJA Info.: + 386 61 1701 800 137 UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana Informacije MIDEM Strokovna revija za mikroelektroniko, elektronske sestavne dele in materiale NAVODILA AVTORJEM Informacije MiDEM je znanstveno-strokovno-društvena publikacija Strokovnega društva za mikroelektroniko, elektronske sestavne dele in materiale - MIDEM. Revija objavlja prispevke domačih in tujih avtorjev s področja mikroelektronike, elektronskih sestavnih delov in materialov, ki so lahko: izvirni znanstveni članki, pregledni znanstveni članki, predhodne objave, strokovni članki ter predavanja in povzetki s strokovnih posvetovanj. Strokovni prispevki bodo recenzirani. Revija objavlja tudi aplikacijske članke, poljudne članke, novice iz stroke, vesti iz delovnih organizacij, inštitutov in fakultet, obvestila o akcijah društva MIDEM in njegovih članov ter druge prispevke. Strokovni prispevki morajo biti pripravljeni na naslednji način 1. Naslov dela, imena in priimki avtorjev brez titul, imena institucij in firm 2. Ključne besede in povzetek (največ 250 besed). 3. Naslov dela v angleščini. 4. Ključne besede v angleščini (Key words) in podaljšani povzetek (Extended Abstract) v angleščini. 5. Uvod, glavni del, zaključek, zahvale, dodatki in literatura v skladu z IMRAD shemo (Introduction, Methods, Results And Discsussion). 6. Imena in priimki avtorjev s titulami, naslovi institucij infirm, v katerih so zaposleni ter tel./Fax/Email podatki. Ostala splošna navodila 1. V članku je potrebno uporabljati SI sistem enot oz. v oklepaju navesti alternativne enote. 2. Risbe je potrebno izdelati ali iztiskati na belem papirju, širina risb naj bo do 8.5 oz. 17 cm. Vsaka risba, tabela ali fotografija naj ima številko in podnapis, ki označuje njeno vsebino. Risb, tabel in fotografij ni potrebno lepiti med tekst, ampak jih je potrebno ločeno priložiti članku. V tekstu je treba označiti mesto, kjer jih je potrebno vstaviti. 3. Delo je lahko napisano in bo objavljeno v slovenščini ali v angleščini. 4. Uredniški odbor ne bo sprejel strokovnih prispevkov, ki ne bodo poslani v dveh izvodih. 5. Avtorji, ki pripravljajo besedilo v urejevalnikih besedil, lahko pošljejo zapis datoteke na disketi (3.5" /1.44 MB/) v formatih ASCII ali Word for Windows 6.0, ker bo besedilo oblikovano v programu Ventura 5.0. Grafične datoteke so lahko v formatu TIFF, PCX, GEM ali HPL, SLD (AutoCAD). Avtorji so v celoti odgovorni za vsebino objavljenega sestavka. Rokopisov ne vračamo. informacije MIDEM Journal of Microelectronics, Electronic Components and Materials INFORMATION FOR CONTRIBUTORS Informacije MIDEM is a professional-scientific-social publication of Professional Society for Microelectronics, Electronic Components and Materials - MIDEM. In the Journal contributions of domestic and foreign authors are published covering the field of microelectronics, electronic components and materials. These contributions may be: original scientific papers, review scientific papers, preliminary communications, professional papers, conference papers and abstracts. All professional contributions are subject to reviews. Application articles, scientific news, news from the companies, institutes and universities, reports on actions of MIDEM Society and its members as well as other relevant contributions are also welcome. Each professional contribution should include the following specific components: 1. Title of the paper, authors' names, name of the institution/company. 2. Key Words and Abstract (not more than 250 words). 3. Introduction, main text, conclusion, acknowledgements, appendix and references following the IMRAD scheme (Introduction, Methods, Results And Discsussion). 4. Authors' names, titles and complete company or institution address including Tel./Fax/Email. COMMENT : Slovenian authors who write in English language must submit title, abstract and key words also in Slovene language. General information 1. Authors should use SI units and provide alternative units in parentheses wherever necessary. 2. Illustrations should be in black on white paper. Their width should be up to 8.5 or 17 cm. Each illustration, table or photograph should be numbered and with legend added. Illustrations, tables and photographs are not to be placed into the text but added separately. Hower, their position in the text should be clearly marked. 3. Contributions may be written and will be published in Slovene or English language. 4. Papers will not be accepted unless two copies are received. 5. Authors may send their files on formatted diskettes (3.5" /1.44 MB/) in ASCII or Word for Windows 6.0 format as text will be formatted in Ventura 5.0. Graphic files may be in TIFF, PCX, GEM or HPL, SLD (AutoCAD) formats. Authors are fully responsible for the content of the paper. Manuscripts are not returned. Rokopise pošljite na naslov: Uredništvo Informacije MIDEM MIDEM pri MIKROIKS Dunajska 5,1000 Ljubljana Slovenia Email: Iztok.Sorli@guest.arnes.si Tel.+ 386 61 312 898, fax.+386 61 319 170 Contributions are to be sent to the address: Uredništvo Informacije MIDEM MIDEM at MIKROIKS Dunajska 5,1000 Ljubljana, Slovenia Email : Iztok.Sorli@guest.arnes.si Tel.+386 61 312 898, fax.+386 61 319 170 138