UDK 621,3:(53+54+621 +66)(05)(497.1)=00 ISSN 0352-9045 Strokovno društvo za mikroelektroniko elektronske sestavne dele in materiale Strokovna revija za mikroelektroniko, elektronske sestavne dele in materiale Journal of Microelectronics, Electronic Components and Materials INFORMACIJE MIDEM, LETNIK 28, ŠT. 4(88), LJUBLJANA, december 1998 MiTAI d;Í1Ü1 CZjj DJ VAliKiOIiS Iskra VARISTOR INFORMACIJE M1DEM_4° 1998 INFORMACIJE MIDEM LETNIK 28, ŠT. 4(88), LJUBLJANA,_DECEMBER 1998 INFORMACIJE MIDEM VOLUME 28, NO. 4(88), LJUBLJANA,_DECEMBER 1998 Izdaja trimesečno (marec, junij, september, december) Strokovno društvo za mikroelektroniko, elektronske sestavne dele in materiale. Published quarterly (march, june, september, december) by Society for Microelectronics, Electronic Components and Materials - MIDEM, Glavni in odgovorni urednik Dr. Iztok Šorli, dipl.ing., Editor in Chief MIKROIKS d.o.o., Ljubljana Tehnični urednik Executive Editor Uredniški odbor Editorial Board Časopisni svet International Advisory Board Naslov uredništva Headquarters Dr. Iztok Šorli, dipl.ing., Doc. dr. Rudi Babic, dipl.ing., Fakulteta za elektrotehniko, računalništvo in informatiko Maribor Dr.Rudi Ročak, dipl.ing., MIKROIKS d.o.o., Ljubljana mag.Milan Slokan, dipl.ing., MIDEM, Ljubljana Zlatko Bele, dipl.ing., MIKROIKS d.o.o., Ljubljana Dr. Wolfgang Pribyl, Austria Mikro Systeme International AG mag. Meta Limpel, dipl.ing., MIDEM, Ljubljana Miloš Kogovšek, dipl.ing., Ljubljana Dr. Marija Kosec, dipl. ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Slavko Amon, dipl.ing., Fakulteta za elektrotehniko, Ljubljana, PREDSEDNIK - PRESIDENT Prof. dr. Cor Claeys, IMEC, Leuven Dr. Jean-Marie Haussonne, EIC-LUSAO, Octeville Dr. Marko Hrovat, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Zvonko Fazarinc, dipl.ing., CIS, Stanford University, Stanford Prof. dr. Drago Kolar, dipl.ing., Inštitut Jožef Stefan, Ljubljana Dr. Giorgio Randone, ITALTEL S.I.T. spa, Milano Prof. dr. Stane Pejovnik, dipl.ing., Kemijski inštitut, Ljubljana Dr. Giovanni Soncini, University of Trento, Trento Prof.dr. Janez Trontelj, dipl.ing., Fakulteta za elektrotehniko, Ljubljana Dr. Anton Zalar, dipl.ing., ITPO, Ljubljana Dr. Peter Weissglas, Swedish Institute of Microelectronics, Stockholm Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 1000 Ljubljana, Slovenija tel.: +386 (0)61 1512 221 fax: +386 (0)61 1512 217 Iztok. Sorli @guest.arnes. si http://pollux.fer.uni-lj.si/midem/journal.htm Letna naročnina znaša 12.000,00 SIT, cena posamezne številke je 3000,00 SIT. Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Annual subscription rate is DEM 200, separate issue is DEM 50. MIDEM members and Society sponsors receive Informacije MIDEM for free. Znanstveni svet za tehnične vede I je podal pozitivno mnenje o reviji kot znanstveno strokovni reviji za mikroelektroniko, elektronske sestavne dele in materiale. Izdajo revije sofinanci rajo Ministrstvo za znanost in tehnologijo in sponzorji društva. Scientific Council for Technical Sciences of Slovene Ministry of Science and Technology has recognized Informacije MIDEM as scientific Journal for microelectronics, electronic components and materials. Publishing of the Journal is financed by Slovene Ministry of Science and Technology and by Society sponsors. Znanstveno strokovne prispevke objavljene v Informacijah MIDEM zajemamo v podatkovne baze COBISS in INSPEC. Prispevke iz revije zajema ISI ® v naslednje svoje produkte: Sei Search® , Research Alert® in Materials Science Citation Index™ Scientific and professional papers published in Informacije MIDEM are assessed into COBISS and INSPEC databases. The Journal is indexed by ISI® for Sei Search®, Research Alert® and Material Science Citation Index™ Po mnenju Ministrstva za informiranje št.23/300-92 šteje glasilo Informacije MIDEM med proizvode informativnega značaja, za katere se plačuje davek od prometa proizvodov po stopnji 5 %. Grafična priprava in tisk BIRO M, Ljubljana Printed by Naklada 1000 izvodov Circulation 1000 issues Poštnina plačana pri pošti 1102 Ljubljana Slovenia Taxe Perçue UDK621,3:(53 + 54+621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS MIDEM'98 KONFERENCA - POVABLJENI REFERATI MIDEM'98 CONFERENCE - INVITED PAPERS K. Reichmann, N. Katsarakis, A, Reichmann: Elektronsko prevodni perovskitni materiali 205 K. Reichmann, N. Katsarakis, A. Reichmann: Electronically Conductive Perovskite Type Materials S. Sokolič, S. Amon: Modeli za transport nosilcev v bazi npn SiGe heterospojnega transistorja 211 S. Sokolic, S. Amon: Models for Carrier Transport in the Base of npn SiGe HBTs H. Gugg-Schwaiger: Mešana CMOS tehnologija firme Alcatel Microelectronics z minimalno razsežnostjo 0.5 /jm 218 H. Gugg-Schwaiger: Alcatel Microelectronics 0.5pm Mixed CMOS Technology M. Topič, F. Smole: Amorfnosilicijevi tankoplastni detektorji barv 223 M. Topic, F. Smole: Thin Film Color Detectors Based on Amorphous Silicon M.H. LaBranche, C.J. McCormick, J.D. Smith, R.L. Keusseyan, R.C. Mason, M.A. Fahey, C.R.S.Needes, K.W. Hang:Naslednja generacija materialov za večplastna debeloplastna vezja 230 M.H. LaBranche, C.J. McCormick, J.D. Smith, R.L. Keusseyan, R.C. Mason, M.A. Fahey, C.R.S. Needes, K.W. Hang: Next-generation, Advanced Thick Film Multilayer System KONFERENCA MIDEM'98 - POROČILO 236 MIDEM'98 CONFERENCE - REPORT PREDSTAVLJAMO PODJETJE Z NASLOVNICE 242 REPRESENT OF COMPANY FROM FRONT PAGE Iskra Varistor Iskra Varistor MIDEM IN NJEGOVI ČLANI MIDEM SOCIETY AND ITS MEMBERS Ervinu Pirtovšku v spomin 247 Ervin Pirtovsek in memoriam VESTI 248 NEWS KOLEDAR PRIREDITEV 254 CALENDAR OF EVENTS VSEBINA LETNIKA 1998 256 VOLUME 1998 CONTENT MIDEM prijavnica 259 MIDEM Registration Form Slika na naslovnici: Iskra Varistor, proizvajalec varistorjev in supresorjev Front page: Iskra Varistor, Varistor and Couplings Manufacturing Company DRUŠTVO MIDEM IN KONFERENCA MIDEM NA INTERNETU Dragi člani društva in bralci revije! Predstavitev društva MIDEM in predstavitev konferenc MIDEM lahko poiščete na INTERNETU in sicer : 1. Predstavitev društva MIDEM in revije " Informacije MIDEM " na naslovu http://polliix.fer.uni-lj.si/midem/society.htm http://pollux.fer.uni-lj.si/midem/journal.htni 2. Predstavitev konference MIDEM na naslovu http://pollux.fer.uni-lj.si/midem/conf98.htm 3. Elektronsko pošto lahko pošiljate na naslov : Iztok.Sorli@guest.arnes.si Pri vpisu naslovov pazite na velike in majhne črke !! Vse člane vljudno prosimo, da poravnajo članarino za leto 1998. MIDEM SOCIETY AND MIDEM CONFERENCE ON INTERNET Dear readers and Society members ! Presentation of MIDEM Society and the information on the MIDEM Conference can be found on INTERNET as follows : 1. Presentation of MIDEM Society and Journal "Informacije MIDEM", address http://pollux.fer.uni-lj.si/midem/society.htm http://pollux.fer.uni-lj.si/midem/journal.htm 2. Presentation of the MIDEM Conference, address http://pollux.fer.uni-lj.si/midem/conf98.htm 3. Email can be sent to : Iztok.Sorli@guest.arnes.si Please, use exact lower and upper case letters as indicated. We kindly ask all our members to pay the membership fee for 1998. UDK621.3: (53+ 54+ 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3, Ljubljana ELECTRONICALLY CONDUCTIVE PEROVSKITE TYPE MATERIALS Klaus Reichmann, Nikos Katsarakis, Angelika Reichmann Institute for Chemical Technology of Inorganic Materials Graz University of Technology INVITED PAPER MIDEM '98 CONFERENCE 23.09.98 - 25.09.98, Rogaska Slatina, Slovenia Keywords: perovskite type materials, electronically conductive materials, oxide materials, electronic conductance, ion conductance, proton conductance, polaronic conductance, band type conductance, polarons, semiconductor technology, band formation, band structures, temperature dependence Abstract: Since oxide materials with exceptional electronic properties recently found their application in semiconductor technology, materials research has got important stimulation. New preparative techniques offer the possibility to integrate materials, whose utilisation for a long time was considered to be confined to the ceramics world. This contribution will give a review on a group of electronically conductive oxides with perovskite structure. A short introduction into the crystallography is followed by an overview of theoretical models of band type conduction and polaronic conduction. General considerations for the formation of bands are discussed and the conditions for itinerant or localised electrons are outlined. Examples are given to illustrate these concepts. Elektronsko prevodni perovskitni materiali Ključne besede: materiali tipa perovskite, materiali prevodni elektronsko, materiali oksidni, prevodnost elektronska, prevodnost ionska, prevodnost protonska, prevodnost polaronska, prevodnost tipa pas, polaroni, tehnologija polprevodnikov, oblikovanje pasov, strukture pasovne, odvisnost temperaturna Povzetek: Uporaba oksidnih materialov z izjemnimi elektronskimi lastnostmi v polprevodniški tehnologiji je dodatno vzpodbudilo raziskovanje na področju materialov. Nove tehnike priprave so omogočile izdelavo komponent, v katerih so kombinirani oksidni materiali z različnimi karakteristikami. V prispevku obravnavamo skupino elektronsko prevodnih oksidov s perovskitno strukturo. Po kratkem uvodu, ki obravnava kristalografijo teh materialov, nadaljujemo s pregledom teoretičnih modelov pasovnega in polaronskega prevajanja. Obravnavamo splošne pogoje za tvorbo prevodnih pasov kakor tudi pogoje za pojav lokaliziranih elektronov. Z nekaj primeri tudi podpremo opisane koncepte. 1. INTRODUCTION Perovskites represent a very common type of ternary compounds with the general formula ABO3. They exhibit a wide range of interesting electrical and magnetic properties, which depend primarily on the character of the d-electrons of the metal cation at the B-site. Most ABO3 compounds are semiconductors or insulators. However a few of them show metal-like electronic conductivity, while others are good ionic conductors. Similar is the range of magnetic properties including the interesting effect of giant magnetoresistance. The source of electric conductivity in some cases is the electronic structure and the formation of bands like in SrRuC>3 or LaNi03. Such compounds theoretically can be treated as "metals". Due to the temperature dependence of spin and valence states and coupling of the electronic orbitals non-metal to metal transitions or semiconductor to metal transitions can be observed. Another reason for conductivity may be the formation of polarons as charge carriers. Polarons are electrons, partially localised by the polarisation of the lattice. The transport of a polaron in an electric field needs the hopping over an energy barrier located between neighbouring cations of the same species with different valence state. The preparation and modification of such mixed valence compounds can be done by doping with heterovalent cations (examples will be given). In other cases the mixed valence is caused intrinsically by oxygen deficiency. The temperature characteristics of such polaron conductors is similar to semiconductors because of the activated charge transport. Just to complete this overview it has to be mentioned that also ionic conductivity occurs in perovskite type oxides as oxide ion conduction (e.g. LaAI03 and Ca-Ti03) or as proton conduction (e.g. doped SrZr03). The effect depends strongly on the concentration and distribution of vacancies in the lattice. Ionic conductivity is combined in some cases with a certain electronic conductivity. For some applications e.g. electrode materials for solid oxide fuel cells (SOFC) such a mixed ionic and electronic conductivity is highly appreciated. Principal investigations and the theoretical treatment of these types of conductors have been done years and decades ago. The application of these materials however is just on the start. Nowadays conductive perovskites are under investigation as electrode material as well as solid electrolyte for solid oxide fuel cells (SOFC), to replace noble metal electrodes or as sensor material. As thin films these compounds have raised attention as buffer layers or even electrodes for ferroelectric /1/ or superconductive /2/ thin films. New preparative techniques such as pulsed laser deposition, magnetron sputtering or chemical solution deposition are vital for extending the field of application. 205 Informacije MIDEM 28(1998)4, str. 205-210 K. Reichmann, N. Katsarakis, A. Reichmann: Electronically Conductive Perovskite Type Materials 2. STRUCTURAL CONSIDERATIONS Particular for the crystallography of ABX3 perovskites is the combination of cations of different size. The bigger A-cation, similar in size to the anion X, forms together with this anion a close packed cubic structure. There the A-cation is twelve-fold co-ordinated. The smaller B-cation occupies octahedrally co-ordinated interstices in that structure entirely formed by the anions. By this way, octahedra containing B-cations are linked at their corners to form a three-dimensional framework (fig. 1). SO® A O B Fig. 1: Unit cell of an Ideal perovskite ABO3. The stability of the perovskite structure is primarily derived from the electrostatic energy achieved by the twelve-fold co-ordination of the A-cation. These sites are formed by the corner sharing octahedra containing the B-cation. Thus the first prerequisite of a stable perovskite is the existence of a rigid octahedral framework, which, in turn, requires the preference of the B-cation for an octahedral co-ordination. Moreover a high effective charge is favourable. Since any A-cation must occupy the relatively large interstices created by these corner sharing octahedra, a second prerequisite is the appropriate size of the A-cation. If the A-cation is too large, the B-X bond length cannot be optimised, thus hexagonal stacking with face sharing octahedra becomes competitive /3,4/. If the A-cation is too small, A-X bonding stabilises structures with a lower anionic co-ordination around the A-cation. It should be noted that the ionic radii strongly influence the bonding between the ions and by that way also the band structure. Goldschmidt /5/ defined a very useful relationship for the stability of perovskites containing a tolerance factor t. RA+RX=U/2(RB+RX) (1) Ra, Rb and Rx are the empirical ionic radii of the respective ions. The perovskite structure occurs only for values of 0.8 < t < 1.1. The ideal close packing with cubic structure corresponds to t = 1, In most cases however orthorhombic and rhombohedral distortions occur, but also tetragonal, triclinic and monoclinic structures are found. Small values for t (t < 0.8) correspond to a comparable size of A-and B-cations and lead to more close packed structures like ilmenite. For t > 1 the space available for the B-cation in its oxygen cage becomes so large that a displacement is possible. This is the origin of the ferroelectric effect of BaTi03. The valences of the A- and B-cation can be chosen nearly arbitrarily as long as they sum up to six. Thus perovskites can be classified as l-V-perovskites (e.g. KNb03), ll-IV-perovskites (e.g. BaTi03) and lll-lll-perovskites (e.g. LaCoOs). Even ReC>3 can be treated as perovskite with Re6+ as B-cation and a vacancy as "A-cation". Because of the different size of the cations, an inversion, i.e. an exchange between A- and B-cations like in spinels, is impossible. On the other hand the perovskite structure is very tolerant towards defects and so deviations from stoichiometry (oxygen excess or deficiency) can cause mixed-valence compounds. The defect distribution can be statistical or ordered, forming superstructures. Well known for such defect superstructures are the perovskite type high temperature superconductors. 3. PEROVSKITES WITH BAND STRUCTURE Several perovskite oxides exhibit metallic conductivity. Typical examples are Re03, AXW03, AM0O3 (A = Ca, Sr, Ba), SrV03, LaTi03 and LaNi03. An early but still very valuable approach to the band structure of transition metal compounds was derived by Goodenough /6, 7, 8/. With empirically formulated criteria for the overlap of cation-cation and cation-anion-cation orbitals, Goodenough rationalises the nature of the d-electrons in transition metal compounds and the conditions for localised and itinerant electrons. The concept is based on the transfer energy term by, which measures the strength of the interaction between two neighbouring atoms i and j: biHwH^j) (2) In this equation H is the Hamilton operator for the electronic wave functions or orbitals VF¡ and 4Jj of the neighbouring atoms i and j and e¡j is the one-electron energy term. The expression (VP¡ is known as overlap integral. Although it is not possible to get good absolute estimates of by, one can predict its variation in a series of isostructural compounds. In oxides with significant cation-cation interaction, by is proportional to the reciprocal cation-cation separation. Where the cation-anion-cation interaction is important, by is related to the covalent mixing of the cation-anion orbitals. For small values of by, the outer d-electrons are localised, for large values of by they are itinerant in a band and behave like in a metal. In a series of isostructural compounds, there is a critical value of the transfer energy, separating the localised from the itinerant electron regime. This critical transfer energy bc is expressed in terms of the position of the cation in the periodic table, the principal quantum number of the d-orbital, the formal charge and the total spin of the cation. 206 K. Reichmann, N. Katsarakis, A. Reichmann: Electronically Conductive Perovskite Type Materials Informacije MIDEM 28(1998)4, str. 205-210 In the case of the perovskite the B-cations are octahe-drally co-ordinated by the anions. That means that d-orbitals of the B-cation are no longer degenerated but split into eg-and t2g-orbitals. This splitting has to be taken into account for estimating the overlap integrals. Figure 2 illustrates the position and the interesting electron orbitals in the perovskite structure. The B-cations are placed in the corners of a cube with the anions inbetween on the edges. To simplify at one B-cation only the eg-orbitals and on another B-cation only the t2g -orbitals are drawn. For the one anion the p-orbitals are drawn. The bonding between anion and B-cation thus can be a- or rt-type. In principle also a bonding between B-cations across the face of the cube has to be considered. Hence the following overlap integrals between neighbouring B-cations labelled 1, 2 and 3 (fig. 2) are possible: Aacc = (vPt2 Via) (3) Aacac = (vFe1 ^2) (4) Aiccac =W1 yt2> (5) As mentioned before, be is related to the atomic number, the formal charge, the principal quantum number of the d-electrons and the total spin of the B-cation. Applied to the LaBC>3-series, with B from the first period of the transition metals (Ti3+, V3+, Cr3+, Mn3+, Fe3+, Co3+, Ni3+) it turns out that the total spin determines the electron behaviour /9/. Figure 3 contains the data for the electrical resistivity p and the activation energy Ea for the conductivity of these LaB03 compounds. In LaTi03 and LaNi03 (Ni in the "low-spin" configuration) the spin of the transition metal ions S is equal to 1/2 resulting in itinerant electrons and metallic behaviour (low resistivity and low activation energy). Compounds with S > 1 for the B-cations, such as V3+, Cr3+, Mn3+ and Fe3+ are insulators with localised electrons (high resistivity and high activation energy). A special case is found with the compound LaCo03 where the temperature dependent population of "low-spin"- and "high-spin"-states causes a transformation from an insulator (or better semiconductor) to a metallic conductor around 1200 K /10, 11 /. Aocc is the overlap integral between the t2g orbitals between the cations 2 and 3 (the label cc is for cation-cation overlap). Since the distance across the face of the cube is 5 - 6 Â the contribution of Aacc is considered negligibly small. AaCac and A^cac are the corresponding overlap integrals along the edge of the cube involving the covalent bonding with the anion. These integrals determine the behaviour of the d-electrons and if they are large enough, it is appropriate to construct collective electron orbitals or bands. On the other hand, if these overlap integrals are small, the d-electrons are localised on discrete cationic sites, Therefore it is possible to define a critical overlap integral that is proportional to a critical transfer energy bc and to distinguish between systems with localised d-electrons and such with itinerant or "band" electrons. Fig. 2: Unit cell of a perovskite with electron orbitals. Overlap integrals can be distinguished as Aacac, A7icac (between cation 1 and 2 or 1 and 3) and Accc (between cation 2 and 3). Ti V Cr Mn Fe Co Ni Fig. 3: Activation energy Ea and resistivity p at 300 K for LaMeC>3 compounds (from 191). The influence of the A-cation is demonstrated in the case of Ü1TÍO3 (Ln = La, Nd, Sm, Dy, Yb) in a work published by P. Ganguly et al. /9/. The electrical resistivities of these compounds are shown in figure 4. LaTi03 has the lowest resistivity with a weak temperature dependence according to its metallic behaviour. NdTi03 shows similar characteristics. In S1T1TÍO3 temperature dependence of the resistivity becomes pronounced but is comparable to thermal excitation energies of degenerate semiconductors. Thus it appears, that at least in the lighter rare-earth titanates exist itinerant d-electrons. With decreasing size of the rare-earth ion (Dy, Yb) a distinct increase of the resistivity is observed. This trend indicates narrowing of the d-band due to the decreasing overlap of the orbitals of the B-cation. As the electronic configuration of the Ti3+-ion ist2g1eg°, which means that only one electron occupies at2g-orbital, the bonding along Ti-O-Ti is rt-type over the oxygen p-orbital. Such type of bonding would have competition from Ln-0 bonding, which becomes favourable as the electron affinity of the Ln3+-ion increases or its size decreases (both leads to a shorter bond length). 207 Informacije MIDEM 28(1998)4, str. 205-210 K. Reichmann, N. Katsarakis, A. Reichmann: Electronically Conductive Perovskite Type Materials Measurement of the Seebeck-coefficient /9/ reveals, that LaTiC>3 ¡s a p-type conductor. LaNiC>3 is reported to be a metallic oxide with n-type conduction /12, 13, 14/. It crystallises in a rhombohedrally distorted perovskite structure where the nickel ions (Ni3+) are in the low spin configuration of t2g6eg1. According to a first approximation the conduction band is formed by the hybridisation of the eg-orbitals of nickel and the oxygen p-orbitals. Since the t2g-band is filled and the eg electron takes place in forming the delocalised, quarter filled g*-band, the compound has no local components at the Ni3+ site and shows a temperature independent susceptibility (Pauli-paramagnetic behaviour). The Seebeck-coefficient of LaNiC>3 is small and negative (around -20/jV/K). Its magnitude increases linearly with temperature, thereby confirming the presence of a partially filled band/12/. 1.5-1.0 0.5 1 0.0 L-0.5- o -1.0 -1.5--2.0- ¡^¿am* B a xws3 decomposes above 860°C /15, 16/ emitting oxygen and NiO. There exists a series of inter-growth phases with the general formula (LaO) (LaNiC>3)n or Lan+1 Nin03n+1. It can be understood as a phase with n perovskite layers followed by one LaO layer with rock salt structure. For n = 1 this will end up with the compound La2Ni04 (and NiO). La2Ni04 is a two-dimen-sional conductor with complex electrical behaviour /17/. To overcome the problem of decomposition the perovskite can be stabilised by doping with other transition metals such as Cr, Mn, Fe or Co. For each system LaNii-xMex03 there exists a critical composition or xc, where the temperature coefficient of resistivity changes its sign. Thus xc formally defines the concentration at which a metal-semiconductor transition takes place. The best stabilisation can be achieved with Co (xc = 0.35-0.5) /18, 19/. The compound LaNio.6Coo.4O3 can be sintered in air up to 1300 °C. 4. POLARONIC CONDUCTION IN PEROVSKITES In a polar crystal the electron-lattice interaction in many cases is so strong that a band model is not applicable. The polarisation and the decrease of the overlap integrals leads to a narrowing of the band width. To a certain extent of the electron-lattice interaction the band model is still valid, only with a higher effective mass of the electron. With increasing electron-lattice interaction the band structure breaks down and one has to consider localised electrons. Electronic conductivity in such materials is still possible but the behaviour is fundamentally different from band conductors. The charge transport is described by the polaron model. A polaron is an electron or hole which polarises its surrounding and thus gets trapped at a lattice site in an energy minimum. Through interaction with phononsthe polaron can overcome this energy barrier and move in an electric field to an appropriate neighbouring lattice site, where it will be localised again for a certain time. As a consequence the charge transport is thermally activated with a low but strongly temperature dependent mobility. A simple model compound for polaronic conduction is NiO doped with lithium /20/. The Li+ ions occupy nickel-sites giving rise to the formation of Ni3+-ions. These Ni3+-ions can be regarded as holes trapped by polarisation and surrounded by Ni2+-ions. The number of charge carriers is determined by the lithium concentration. Activated by phonons an electron can hop from a neighbouring Ni2+ to the Ni3+. Thus in first order the charge transport can be treated similar to the movement of an ion into a defect. The mobility p and hence the conductivity o exhibit an exponential temperature dependence: a = a0exp ii] kT (6) In this expression Ea is the activation energy necessary for the hopping over the energy barrier, k is the Boltzmann-factor, T the absolute temperature. The activation energy Ea usually lies in the region of 0.2 - 0.8 eV. The forefactor ao is considered temperature dependent and contains a hopping probability, which usually is taken near one. However at low temperatures (below the Debye temperature exactly spoken) the conductivity deviates intrinsically from this purely exponential characteristics and more sophisticated models have to be applied to explain the behaviour in this region. A detailed description of polaron transport Is given by Appel /21 / or Austin and Mott /22/. Generally it can be said that polaronic charge transport occurs between neighbouring ions of the same species on crystallographically equivalent sites with a valence difference of one. The conductivity characteristics is determined by the temperature dependence of the mobility whereas the number of carriers in principle remains constant. The most common way to influence the number of carriers, i.e. the ration between Men+ and MeVo"* + 2 Coco + 1/2 O2 (7) In the Kroger-Vink notation the Co'co corresponds to the Co4+-ion. It is eliminated by the formation of an oxygen vacancy. Thus ionic charge compensation does not contribute to the polaronic conduction and the conductivity (i.e. charge carrier concentration) exhibits a pronounced dependence on oxygen partial pressure. Contrary to LaCo03 is the behaviour of LaMn03. As the Mn4+-ion is much more stable, this species already exists in undoped LaMn03 causing an oxygen excess. Even after doping with two-valent ions such as Sr2+, an oxygen excess can be observed at high oxygen partial pressure /25, 26/. The excess oxygen is not found at interstitial sites but causes cation vacancies on A- and B-sites /27/. For n-type conductivity LaCoC>3 has to be doped with ions with a valence of four. Examples are Th4+ (on A-sites) and Ti4+ (on B-sites). For low dopant concentrations the perovskite exhibits n-type conductivity, due to the formation of Co2+. In the case of LaCoi-xTix03 the electronic charge compensation takes place up to x = 0.2, higher concentrations of titanium result in compounds with oxygen excess. The Seebeck coefficient however, indicating the conduction type, turns its sign already for x = 0.1. This unusual behaviour is due to the formation ofTi4+-Co2+ clusters. In these clusters Co2+- ions are bound and cannot act as donors for the polaronic conduction. 5. SUMMARY Among perovskite type oxides electronic conduction, either as band-type or polaronic conduction, is frequently found. The differentiation between these mechanisms is done mainly by considerations about magnitude and temperature dependence of the conductivity and the charge carrier concentration. From the estimation of overlap integrals, which involves the atomic number, the formal charge, the principal quantum number of the d-electrons and the total spin of the B-cation, one can derive an approximate band-struc-ture and roughly explain the electrical behaviour. Additional influences come from the crystal structure (ionic radii of A- and B-cation, superstructures, lattice distortions). That is why, despite of the availability of good commercial software for the calculation of band structures, the estimation and prediction of electric properties still needs a great deal of empirical assumptions and experimental efforts. In many cases a band picture is not applicable, due to the more or less polar character of oxides. The polarisation causes a narrowing of the bands leading finally to a break down of the band structure, because of the uncertainty relation. In such a case the charge transport is described with a hopping process of an electron from a cation Men+ to a cation Me(n+1)+ over an energy barrier. Thus the conductivity is mainly influenced by the height of the energy barrier and the probability that the neighbouring cation is an appropriate one. For the great variety of semiconducting oxides the polaron model has turned out to be a good tool to explain the electrical behaviour over a wide range of temperature and conductivity values. 6 REFERENCES a SI U I IU» I I R«a i V V^ I™ VJ /1/ M. Izuha, K. Abe, M. Koike, N. Fukushima, Solid State Ionics, 108, 99, 1998. /2/ M. S. Hedge, K. M. Satyalakshml, R. M. Mallya, M. Rajeswari, H. Zhang, J. Mat. Res., 9, 4, 898, 1994. /3/ J. B. Goodenough, J. M. Longo, Crystallographic and Magnetic Properties of Perovskite and Perovskite-Related Compounds, Landolt-Bornstein New Series lll/4a, Springer, Berlin, 1970. /4/ L. Tejuca, J. Less Common Met., 146, 251, 1989. /5/ V. M. Goldschmidt, Skr. Nor. Vldensk.-Akad. Oslo, 1,8,1926. /6/ J. B. Goodenough, J. Appl. Phys., 37, 3, 1415, 1966. /7/ J. B. Goodenough, Progress In Solid State Chemistry, 5,145, 1971. /8/ J. B. Goodenough, in Solid State Chemistry, (C. N. R. Rao ed), Dekker, New York, 1994. /9/ P. Ganguly, Om Parkash, C. N. R. Rao, Phys. Status Solidl (a), 36, 669, 1976. /10/ C. N. R. Rao, J. B. Goodenough, Phys. Rev., 155,3, 932,1967. /11/ V. G. Bhlde, D. S. Rajoria, G. Rama Rao, C. N. R. Rao, Phys. Rev. B, 6, 3, 1021, 1972. /12/ P. Ganguly, C. N. R. Rao, Mat. Res. Bull., 8, 405, 1973. /13/ K. P. Rajeev, G. B. Shivashankar, A. K. Raychaudhurl, Solid State Commun., 79, 7, 591, 1991. /14/ K. Sreedhar, J. M. Honig, M. Darwin, M. McElfresh, P. M. Shand, J. Xu, B. C. Crooker, J. Spalek, Phys. Rev. B, 46, 10, 6382, 1992. /15/ J. Drennan, C. P. Tavares, B. C. H. Steele, Mat. Res. Bull., 17, 621, 1982. 209 K. Reichmann, N, Katsarakis, A. Reichmann: Informacije M1DEM 28(1998)4, str. 205-210____Electronically Conductive Perovskite Type Materials /16/ P. Odier, V. Nigara, J. Coutures, M. Sayer, J. Solid State Chem., 56, 32 1985. /17/ C. N. R. Rao, D. J. Buttrey, N. Otsuka, P. Ganguly, H. R. Harrison, C. J. Sandberg, J. M. Honlg, J. Solid State Chem., 51, 266, 1984. /18/ P. Ganguly, N. Y. Vasanthacharya, C. N. R. Rao, P. P. Edwards, J. Solid State Chem., 54, 400, 1984. /19/ N. Katsarakis, O. Fruhwirth, W. Sitte, Proc. Fourth Euro Ceramics, 5, 89, 1995. /20/ R. R. Heikes, W. D. Johnston, J. Chem. Phys., 26, 582, 1957. /21/ J. Appel, Solid State Phys., 21, 193, 1968. /22/ I. G. Austin, N. F. Mott, Adv. Phys., 18, 41, 1969. /23/ A. Macher, K. Reichmann, O. Fruhwirth, K. Gatterer, G. W. Herzog, INFORMACIJE MIDEM, 26, 2, 79, 1996. /24/ N, Ramadass, J. Gopalakrlshnan, M. V. C. Sastrl, J. Less Common Met., 65, 129, 1979. /25/ J. Kuo, H. Anderson, D. Sparlin, J. Solid State Chem., 52-60, 83, 1989. /26/ J. Palma, P. Duran, J. Jurado, C. Pascual, Proc. Second Euro Ceramics, 2, 251, 1991. /27/ B. Tofield, W. Scott, J. Solid State Chem., 183, 10, 1974. Klaus Reichmann, Nikos Katsarakis, Angelika Reichmann Institute for Chemical Technology of Inorganic Materials Graz University of Technology Stremayrgasse 16/111, A-8010 Graz e-mail: f537mac@mbox.tu-graz.ac.at Prispelo (Arrived): 21.09.98 Sprejeto (Accepted): 16.11.98 210 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana MODELS FOR CARRIER TRANSPORT IN THE BASE OF npr» SiGe HBTs Sasa Sokolič*, Slavko Amon Faculty of Electrical Engineering, University of Ljubljana, Slovenia *METRONIK, Ljubljana, Slovenia INVITED PAPER MIDEM '98 CONFERENCE 23.09.98 - 25.09.98, Rogaška Slatina, Slovenia Keywords: semiconductors, HBT, Heterojunction Bipolar Transistors, carrier transport, npn bipolar transistors, Si-Ge transistors, carrier transport modeling, minority carriers, analytical modeling, numerical modeling, BGN, BandGap Narrowing, transistor bases, diffusion constants Abstract: Based on recalculated experimental and theoretical data, a consistent set of models for minority carrier transport in p-type SiGe HBT base is presented. Models are valid in wide range of temperature, doping level and Ge content <77K«cn>J / 1 loi, cmJ .............................. / tiki 213 Informacije MIDEM 28(1998)4, str. 211-217 S. Sokolič, S. Amon: Models for Carrier Transport __in the Base of npn SiGe HBTs from Klaassen /33/ (including data from several authors) for m,si at 300K (1.08 1010 cm"3) and the temperature dependence of intrinsic NCNV product (CT3-43). In addition to CT3-43 recalculation, the data from Poortmans et al /22/ were recalculated also for the mobility from Klaassen /12/. AEg,hd was then evaluated from those recalculated AGsi data by means of eq. (5), noting that in silicon AEg,Ge = 0, and that other terms can be calculated with models described above. The final result of this procedure, bgn due to high doping (AEg.hd) vs. doping, is shown in Fig.3. It can be observed in Fig.3 that after recalculation, the experimental values from different authors are in agreement. For the purpose of analytical and numerical modeling, best fit approximation (solid line in Fig.3) was determined /14/ AEg.hd = ((a Nac)"4 + (b NaV)"4 [eV] (8) where a = 6.76x10"11, b = 3.58x10"7, c = 0.5, d = 0.28 and Na [cm-3] 10' AE„m [eV] 102 1016 1017 1018 1019 1Cf!0 Doping concentration [cm3] Fig. 3. Bgn due to high doping A£g,hd vs. doping 5.4. Bandgap narrowing due to Ge induced strain and alloying AEg,Ge To determine bgn due to Ge strain and alloying AEg,Ge, we follow a procedure proposed by Sokolič et al. /14/. Determination of AEg,Ge is based on recalculated experimental data for apparent bgn in SIGe (AGsiGe) and various measured effective bandgap narrowing data, obtained from measurements of ic characteristics in SiGe BJTs. To enable Inclusion and the analysis of the experimental results from different authors, all the data involved had to be recalculated for the same mobility model, taken from Klaassen /12/, for the same Ge dependence of mobility, taken from Decoutere /13/, for the same temperature dependence of NcNv - for intrinsic Si taken from Green /17/ and in doped Si and SiGe based on the derivations above -, for Eg in intrinsic Si as suggested by Green /17/ and for the definition of apparent bgn AGsiGe adopted in this work, eq. (5). As a final result, bgn due to Ge induced strain and alloying AEg,Ge is evaluated from recalculated experimental data for apparent bgn AGsiGe, for different values of T, Na, XGe by means of eq. (5). Note that in eq. (5), AEg,Ge is now to be determined, AGsiGe is measured, and other terms are calculated with models derived previously. AEg,Ge vs. XGe data, obtained from recalculation procedures described above, are shown in Fig.4. Recalculated data exhibit clear dependence on Ge content and almost no dependence on doping (this is not the case with row, nonrecalculated data!). It is worth mentioning that recalculated AEg,Ge vs. XGe data points are in good agreement also with models from Bean /9/ and Robins /42/, obtained from absorbtion and photolumines-cence, respectively. All these observations lead to a conclusion that: 1. Modeling of apparent bandgap narrowing described in this work was appropriate, and 2. Recalculations of the experimental data were done correctly. In other words, we can conclude that temperature and doping dependence of effects involved were taken into account correctly with the models for mp* (Na, T, XGe) and AEg,hd(NA) derived above. For the purposes of analytical and numerical modeling, best fit approximation (solid curve in Fig. 4) was determined, based on recalculated AEg,Ge values /14/ AEg,Ge = a XGe - b XGe2 [eVj (9) where a = 0.937 , b = 0.5 and XGe [%Ge /100] 0.20 0.18 0.16 0.14 0.12 AEj.cJeV] 0.10 0.08 0.06 0.04 0.02 0.00 0 Fig, 4. Bgn due to Ge induced strain and alloying A£g,Ge vs. Ge content XGe 5.5. Apparent bandgap narrowing AGsiGe With models for mp* (Na, T, XGe), AEg,hd(na) and AEg,Ge(xGe) derived in previous sections, apparent bgn AGsiGe can be calculated for arbitrary Na, T and XGe by means of eq, (5). The result of this calculation, AGsiGe vs. doping Na, temperature T and Ge content XGe, is shown in Fig, 5. It can be seen in Fig. 5 that AGsiGe increases with doping and Ge content. It can also be observed that AGsiGe. increases at low temperatures for higher Ge contents, that is due to lower influence of NcNv ratio at low temperatures. On the other hand, degeneracy is more pronounced at low temperatures, resulting in lower AGsiGe at low temperatures and high doping levels. In Si, in agreement with experiments, apparent bgn AGsi is temperature independent. RECALCULATED EXPERIMENTAL DATA: ° & ■ • Klaassen [33] f Poortmans [22] a Sturm [17] Prinz [36] RECALCULATED EXPERIMENTAL DATA: a Matutinovic [39] Poortmans [22] Manning [40] Slotboom ¡34] Pruljmboom [35] Jain [38] 0.1 Ge fraction 214 S. Sokolič, S. Amon: Models for Carrier Transport in the Base of npn SiGe HBTs Informacije MIDEM 28(1998)4, str. 211-217 Doping concentration [cm"3] Fig. 5. Apparent bgn AGsiGe vs. doping A/a, temperature T and Ge content xGe To conclude, we should not forget that all AGsiGe curves are obtained indirectly, by considering available Si BJT and SiGe HBT measurements and can therefore, to some extent, be treated as empirical. Moreover, models for mp* (Na, T, XGe), AEg,hd(NA), AEg,Ge(xGe) represent a consistent set of models based on available theoretical and experimental data, which determines AGsiGe in a wide range of temperatures, doping levels and Ge content (77K{¡,=0.06) :........................................ 300K : box(%„=0.06) 77K, 300K . 300K - trapezoid (Xq,: 0.03-0.09) 77K 215 Informacije MIDEM 28(1998)4, str. 211-217 S. Sokolič, S. Amon: Models for Carrier Transport ________in the Base of npn SiGe HBTs 6.3. Influence of Fermi-Dirac statistics The influence of Fermi-Dirac statistics on the operation of SiGe HBT was analysed recently/24/and recognised to be of great importance in these devices. In SiGe HBT base, greater influence of Fermi-Dirac statistics is expected than in Si BJT for several reasons - due to lower hole effective mass in SiGe, possible low temperature operation of SiGe HBT and possible high doping in SiGe base. Fermi-Dirac statistics influences minority carrier transport basicaly through two effects: major effect is Fermi level shift lowering minority electron current (4th term in expression for apparent bgn AGsiGe, eq.(5)), and minor effect is the increase of mp* (through 3rd term in (5)), attenuating Fermi level shift. Analysis of the influence of Fermi-Dirac statistics on SiGe HBT performance presented in this work was based on equations and models described previously in this work. Result of these calculations, minority carrier current density and base transit time ratios (Fermi-Dirac vs. Boltzmann) are shown in Fig.8. It can be seen from Fig.8 that at 300K Fermi-Dirac statistics is important for doping concentrations higher than 1019 cm-3. At low temperatures (77K), Fermi Dirac statistics influences the device properties significantly and should be taken into account as soon as doping concentration in the base extends 1018 cm-3. It can be concluded that due to the high doping in SiGe HBT base, Fermi-Dirac statistics should be applied for the majority of cases.On the contrary, the application of Fermi-Dirac statistics in Si BJTs - which are not well suited for low temperature operations - is important only for the highly doped emitter regions, and therefore does not affect base transport properties. Doping concentration [cm'3] 1.0 0.9 o '43 e 0.8 0.7 1018 1019 1020 Doping concentration [cm"3] CONCLUSION A set of models for SIGe HBT carrier transport, based on criticaly and consistently recalculated experimental and theoretical data, was derived and is reviewed. Proposed set of models accounts for important effects in SiGe base such as BGN due to high doping as well as due to strain and alloying (Ge), distortion of density of states and Fermi-Dirac statistics. Proposed models are adequate for advanced analytical and numerical modeling of SiGe HBTs. A Fortran or C+ subroutine for fast calculation of hole effective mass, appropriate for numerical simulators, is free available on Internet (http://pollux.fe.uni-lj.si/lee1). Validity range of derived models is 77K < T < 350K , NA < 1020 cm'3 , XGe < 0.2 REFERENCES /1/ D.L. Harame, J.H. Comfort, J.D. Cressler, E.F. Crabbe, J.Y.-C. Sun, B.S. Meyerson and T. Tice, IEEE Trans. Electron Devices, 42, 455 (1995), and references therein. /2/ D.L. Harame, J.H. Comfort, J.D. Cressler, E.F. Crabbe, J.Y.-C. Sun, B.S. Meyerson and T. Tice, IEEE Trans. Electron Devices, 42, 469 (1995), and references therein. /3/ A. Gruhle, H. Kibbel, U. König, U. Erben and E. Kasper, IEEE Electron Dev. Lett., 13, 206 (1992). /4/ D.L. Harame, E.F. Crabbe, J.D. Cressler, J.H. Comfort, J.Y.-C.Sun, S.R.Stiffer, E.Kobeda, J.N. Burghartz, M.M. Gilbert, J.C. Malinowskl and A.J. Dally, IEDM Tech. Dig., 19 (1992). 151 J.D. Cressler, J.H. Comfort, E.F. Crabbe, G.L. Patton, J.M.C. Stork, J.Y.-C.Sun and B.S. Meyerson, IEEE Trans. Electron Devices, 40, 525 (1993). /6/ J.D. Cressler, J. Phys. IV, 4, C6-101 (1994). /7/ J.D. Cressler, in Proc. of the 1995 ECS Symp. on Low Temperature Electronics and High Temperature Superconductivity, eds. C.L. Claeys, S.I. Raider, R.K.Kirschman and W.D. Brown, Electrochemical Soc. Proc. 95-9, 159 (1995). /8/ J.D. Cressler, E.F. Crabbe, J.H. Comfort, J.Y.-C. Sun and J.M.C. Stork, IEEE Electron Dev. Lett., 15, 472 (1994). /9/ J.C. Bean, Proc. IEEE, 80, 571 (1992). /10/ J.L.Moll, I.M.Ross, Proc.IRE, 44, 72 (1956) /11/ H. Kroemer, Solid-State Electron., 28, 1101 (1985)/ /12/ D.B.M. Klaassen, Solid-State Electron., 35, 953 (1992); and 35, 961 (1992) /13/ S. Decoutere, J. Poortmans, L. Deferm and J. Nijs, SSE 38, 157 (1995) /14/ S. Sokolic and S. Amon, in Proc. ESSDERC'96, 657 (1996)/ /15/ S. Sokolic and S. Amon, Informacije MIDEM, 26, 161 (1996). /16/ B. Pejcinovic, L.E. Kay, T.-W. Tang and D.H. Navon, IEEE ED 36,2129 (1989). /17/ M.A. Green, J. Appl. Phys., 67, 2944 (1990). /18/ T. Manku and A. Nathan, J. Appl. Phys., 69, 8414 (1991). /19/ F. L. Madarasz, J. E. Lang and P. M. Hemeger, J. Appl. Phys., 52,4646 (1981). /20/ Y. Fu, S.C. Jain, M. Willander and J.J. Loferski, J. Appl. Phys., 74, 402 (1993). /21/ J. Wagner, Solid-St. Electron., 28, 25 (1985). /22/ J. Poortmans, S.C. Jain, D.H.J. Totterdell, M. Caymax, J. Nijs, R. Mertens and R.VanOverstraeten, Solid-State Electron., 36, 1763 (1993). /23/ A. Souifi, G. Bremond, T. Benyattou and G. Guillot, Appl.Phys.Lett.,62, 2986 (1993). /24/ S. Sokolic and S. Amon, "Influence of Fermi-Dirac Statistics on Collector Current of npn SIGe HBT at Low Temperatures", J. Phys. IV, Vol.6, C3, pp.131-136, (1996). /25/ A. Sokolic and S. Amon, "Temperature Dependent Model for Hole Effective Massln Heavily Doped p-type SiGe", J. Phys. IV, Vol.6, C3, pp.137-142, (1996). Fig. 8. Current and transit time ratio (Fermi-Dirac / Boltzmann) vs. doping N/\ 216 S. Sokolič, S. Amon: Models for Carrier Transport in the Base of npn SiGe HBTs Informacije MIDEM 28(1998)4, str. 211-217 /26/ C. E. Lang , F. L. Madarasz and P. M. Hemeger, J. Appl. Phys., 54, 3612 (1981). /27/ Y. Fu, K. J. Grahn, and M. Willander, "Valence Band Structure of GexSh -x for Hole Transport Calculations", IEEE Trans. Electron Dev., Vol. 41, No. 1, pp. 26-31,1994 (Remarque: expressions for density of states in SiGe given in this work were found incorrect - Y. Fu has given us correct expressions in a private correspondence) /28/ S. Sokolic, B.Ferk and S. Amon, "SIGe HBT simulation based on mp*(T,NA,xge) numerical model HEM", J. Phys. IV, Vol.8, Pr3, pp.117-120 (1998). /29/ M. Libezny, S. C. Jain, J. Poortmans, M. Caymax, J. Nijs, R. Mertens, K. Werner, and P. Balk, "Photoluminescence determination of the Fermi energy in heavily doped strained Sii-xGex layers", Appl. Phys. Lett., Vol. 64, No. 15, pp. 1953-1955, 1994. /30/ F. Thuselt, and M. Rosier, "Gap Shift in Doped Semiconductors at Finite Temperatures", Phys. Stat. Sol. (b), Vol. 130, pp. 661-673, 1985. /31/ S. C. Jain, and D. J. Roulston, "A Simple Expression for Band Gap Narrowing (BGN) in Heavily Doped Si, Ge, GaAs and GexSli-xStrained Layers", SSE.V34, No,5., pp. 453-465,1991. /32/ J. Wagner, and J. A. del Alamo, "Band-gap narrowing in heavily doped silicon: A comparison of optical and electrical data", J, Appl. Phys., Vol. 63, No. 2, pp. 425-429, 1988. /33/ D. B. M. Klaassen, J. W. Slotboom, and H. C. de Graaff, "Unified Apparent Bandgap Narrowing in n- and p-Type Silicon", Solid-St. Electron., Vol. 35, No, 2, pp.125-129, 1992. /34/ J. W. Slotboom, G. Streutker, A. Pruijmboom, and D. J. Gravesteljn, "Parasitic Energy Barriers in SiGe HBT's", IEEE Electron Dev. Lett., Vol, 12, No. 9, pp. 486-488, 1991 . /35/ A. Pruijmboom, J. W. Slotboom, D, J. Gravesteijn, C. W. Fredrlksz, A. A. van Gorkum, R. A. van de Heuvel, J. M. L. van Roolj-Mulder, G. Streutker, and G. F. A. van de Walle, "Het-erojunction Bipolar Transistors with SIGe Base Grown by Molecular Beam Epitaxy", IEEE Electron Dev. Lett., Vol, 12, No. 7, pp. 357-359, 1991. /36/ E. J. Prinz, P. M. Garone, P. V. Schwartz, X. Xiao, and J. C. Sturm, "The Effect of Base-Emitter Spacers and Strain-Dependent Densities of States in Si/Sh-xGex/Si Heterojunction Bipolar Transistors", IEDM Tech. Dig., pp. 639-642, 1989. /37/ J. C. Sturm, E. J. Prinz, P. M. Garone, and P. V Schwartz., "Band-gap shifts in silicon-germanium heterojunction bipolar transistors", Appl. Phys. Lett., Vol. 54, No. 26, pp. 2707-2709, 1989. /38/ S. C. Jain, J. Poortmans, S. S. Iyer, J. J. Loferski, J. Nijs, R. Mertens, and R. Van Overstraeten, "Electrical and Optical Bandgaps of GexSii-x Strained Layers", IEEE Trans. Electron Dev., Vol. 40, No. 12, pp. 2338-2343, 1993. /39/ Z. Matutinovic-Krstelj, "Base Doping Effects and Design of Si/SiGe/Si Heterojunction Bipolar Transistors", Dissertation, Princeton University, 1994. /40/ B. M. Manning, C. J. Peters, N. G. Tarr, J.-P. Noel, and D. C. Houghton, "Effect of heavy doping on band gap in SiGe base regions", J. Vac. Sei. Technol. B, Vol. 11, No. 3, pp. 1190-1192, 1993. /41/ J. W. Slotboom, and H. C. de Graaff, "Measurements of Bandgap Narrowing in Si Bipolar Transistors", Solid-St. Electron, Vol. 19, pp. 857-862, 1976. /42/ J. Robins, L.T. Canham, S. J. Barnett, A. D. Pitt, and P. Calcott, "Near-band-gap photoluminiscence from pseudomorflc Sh-xGex single layers on silicon", J. Appl. Phys., Vol. 71, No. 3, pp. 1407-1414, 1992. Sasa Sokolič*, Slavko Amon Faculty of Electrical Engineering, University of Ljubljana Tržaška 25, 1000 Ljubljana, Slovenia *METRONIK Stegne 21, 1000 Ljubljana, Slovenia email: slavko.amon@fe.uni-lj.si Prispelo (Arrived): 21.9.1998 Sprejeto (Accepted): 16.11.1998 217 Informacije MIDEM 28(1998)4, Ljubljana UDK621,3:(53 + 54+621 +66), ISSN0352-9045 ALCATEL MICROELECTRONICS 0.5 jam Mixed CMOS Technology Hans Gugg-Schwaiger Alcatel Microelectronics, München, Germany INVITED PAPER MIDEM'98 CONFERENCE 23.09.98 - 25.09.98, Rogaška Slatina, Slovenia Keywords: semiconductors, microelectronics, mixed 0,5 ^m CMOS technologies, mixed 0.35 urn CMOS technologies, ADS, ASIC Design System, Application Specific Integrated Circuit, Design System, design for quality Abstract: The features of the submicron silicon 0.5 (.im mixed CMOS technology are described. Process options, process parameters & design rules, cross-section and DOC-references are shown. Achieved Quality levels and design for Quality are discussed briefly. The ADS Asic Design System is described. Finally the CMOS roadmap and 0.35|im mixed CMOS technology are briefly described. Mešana CMOS tehnologija firme Alcatel Microelectronics z minimalno razsežnostjo 0.5jtim Ključne besede: polprevodniki, mikroelektronika, CMOS tehnologije mešane 0,5 ^m, CMOS tehnologije mešane 0,35 fjm, ADS ASIC sistem snovanja za vezja integrirana za aplikacije specifične, snovanje za kakovost Povzetek: V prispevku opisujem osnovne značilnosti mešane CMOS tehnologije z minimalno razsežnostjo 0.5^m. Prikažem procesne možnosti, procesne parametre, načrtovalska pravila, preseke in ustrezno dokumentacijo. Na kratko se dotaknem koncepta vgrajene zanesljivosti in pokažem dosežen nivo kvalitete. Opišem tudi ADS - sistem za načrtovanje ASIC vezij. Na koncu podam možne poti razvoja v bodočnosti In na kratko obravnavam novo mešano CMOS tehnologijo z minimalno razsežnostjo 0.35 jum. 1 INTRODUCTION Combining the power of a 32bit RISC processor, with its on-board program, VHDL-described hardware and the analog front-end to communicate with analog signals to the external world is the every-day-work for the design community at Alcatel Microelectronics. This type of architectural construction is possible on a single chip thanks to the digital density and the analog capabilities offered by the described half-micron CMOS process. Applications that have taken advantage of this integration capability are numerous. From integrated toll payment module for cars to low power integrated hearing aids and advanced chip-sets for GSM using the unique zero IF technique. Speaking about the Application Specific Standard Products (ASSP), the same technology is used to develop the Asymmetrical Digital Subscriber Line (ADSL) chip set, the integrated Power Line Carrier (PLC) modem and the Integrated Services Digital Network (ISDN) product series. Alcatel Microelectronics has made the mixed mode communication chips his niche-flagship. This breakthrough is the result of a strong revolution within the company. The technological development carried out in the last 5 years has allowed Alcatel Microelectronics to be able to switch its main production to the sub half-micron mixed mode CMOS technology. The company succeeded to bring its digital design methodologies and technology capabilities to the level of expertise recognised for the analog design. The developed methodologies have been put into the Alcatel Microelectronics EDA system "ADS" (Asic Design System). In parallel the clear strategy for the re-use of value added blocks has been put in place as well as a continued improvement of the product quality. 2 0.5 |jm Mixed CMOS Technology Alcatel Microelectronics started 5 years ago with his first sub-micron mixed mode 0.7 (i CMOS technology. This technology was based on the 1.2 ¡im generation. Two years after, the new generation 0.5u CMOS was presented to the market. This new generation is characterised by an impressive list of features. 2.1 Digital 0.5 |um CMOS base technology The efficiency for digital circuitry is obtained by providing self aligned Poly-gate CMOS transistor with size (L and W) down to 0.5 (j.m. The routing density is made very good by the use of triple metal layers and by the use of stackable vias and contacts. This last feature allows to contact the drain of a CMOS transistor to the highest metal by consuming only the size of one contact. The triple metal allows also to route over the cell, this virtually avoids the need for channel routing. Routing density better than 80% is possible, (see fig. 1,2,3) 218 H. Gugg-Schwaiger: Alcatel Microelectronis 0.5 pm Mixed CMOS Technology_ Informacije Ml DEM 28(1998)4, str. 218-222 C05 General Characteristics Voltage Supply 2.0 V to 3.6 V, 5 V compliant l/O's Base wafer 6" epi wafers Dynamic characteristics typical gate delay 102 ps ; power consumption 0.9pW/Gate/MHz at 3V I ring oscillator delay 104 to 111 ps/Stage Protection latch up resistance >± 200mA ESD protection > ±2000V Fig.1: C05 General Characteristics Base process features self aligned twin tub N & P Poly Gates i stackable contacts and vias digital & analog NMOS & PMOS transistors 3V operation / 5V compliant l/O's Fig. 2: C05 Base process features C05 basic design rules number of masks: C05D 15 metal interconnect / Poly layers 3M/1P Layout rules transistor min. width & length 0.5 pm analog transistors 0.8 pm Poly line pitch 1.3 pm Metal 1 pitch 1.6 pm Metal 2 pitch 1.9 pm Metal 3 pitch 2.5 pm Fig. 3: C05 basic design rules 219 2.2 Analog modules of the 0.5 /jm CMOS technology The analog module consists of precision capacitance and resistance. The analog capacitance is build with 2 Poly layers placed on the field oxide. This construction provides a precision capacitance that features a 1.1nF/mm2 and a voltage non-linearity better than 30ppm/V. The resistance is a high ohmic (HIPO) type. Its sheet resistance is higher than the 1 kOhm. The analog characteristics of the CMOS transistors shows well controlled threshold voltage under the 0.69V in worst case conditions. The thermal noise is limited to 1e-29 V/sqrt(Hz). In addition to the CMOS, capacitance and resistance characteristics, the documentation provided by Alcatel Microelectronics contains characteristics of the junction capacitance, interconnect capacitance, matching data for CMOS transistors, resistances and capacitances, (seefig. 4, 5, 6) Analog options C05A number of masks: C05A 18 metal interconnect / Poly layers 3M/2P ■ second Poly layer, capacitor Poly1-Poly2 linear capacitor. i 1.1nF/mm2, toi ±10%, i Vel <20ppm/V, Vcq <-10ppm/V, j Matching <0.1% (3 sigma) @W/L = 20/20 high-ohmic Poly resistor 1 kQ/sq toi ±10%, voltage linearity: Vcl < 200 ppm/V, temperature coefficient: Tel <-1500 ppm/°C, ; matching <0.35% (3 sigma) @W/L= 10/100 bipolar transistor vertical PNP Fig.4: C05 Analog options 2.3 Design for reliability In order to get ultimate quality in production without screening, Iddq and Vscreen testing are implemented in all the designs. Definitions: Iddq: Test of Idd leakage current @Vdd_nom & all bias off Vscreen: Some real testpattern, e.g. ScanPath @ 1,4xVdd_max These two methods improve the quality and reliability of the IC's drastically. In order to be able to do the above tests, the IC has to be designed already covering Iddq, which needs some skills especially in analog (e.g. switching off a reference voltage resistor divider, but don't degrade the matching). Informacije MIDEM 28(1998)4, str218-222 H. Gugg-Schwaiger: Alcatel Microelectronis _0.5 pm Mixed CMOS Technology C05 electrical characteristics (worst case) NMOS PMOS unit Oxide Tickness 10 10 nm Threshold Voltage 0.69 -0.66 V Beta lin 2480 600 ju A/V2 Noise (Kf) 3E-28 1E-29 V/sqrt(Hz) Leakage current 1 1 pA/pm2 fr 15 15 GHz Bipolar transistor vertical PNP, collector to substrate, typical Vbe: 0.680 V Tcl_Vbe -1.97 mV/K Hfe 8 Vearly 170 V Area 6.76 2 jjm Fig.5: C05 electrical characteristics PMOS NMOS Poly Copodtor Hipo RosUtorKo Fig. 6 C05A Cross Section 220 H. Gugg-Schwaiger: Alcatel Microelectronis 0.5 pm Mixed CMOS Technology_ Informacije Ml DEM 28(1998)4, str. 218-222 Two other techniques are the well known ATPG and the use of JTAG, Boundary Scan. Finally reliability data are provided in the documents to determine the expected live time of circuits stressed under extreme conditions. This allows the designer to anticipate design tuning to maximise the life-time. 2.4 C05 Libraries & Document reference C05 Libraries core digital library (Doc) MTC 35000 i high profile IO (Doc) MTC 35100 low profile IO (Doc) MTC 35200 5V safe IO (Doc) MTC 35300 medium profile IO (Doc) MTC 35400 ROM RAM compilers (Doc) MTC 35500 functional blocks (CD ROM) MTC 8332: 32bit RISK, MTC 8308: 8bitpRISC, etc. functional blocks Analog library MTC 35800 C05 Documentation the available material .. and where .. the C05 data sheet MTC 35000 CD ROM SPICE Models for C05M BSIM3V3 DS 13314 CONTROLLED DOCUMENT C05M-D Design Rule Manual DS 13315 CONTROLLED DOCUMENT C05M-A Design Rule Manual DS 13316 CONTROLLED DOCUMENT C05 Scribe Lane Insert Description DS 10994 CONTROLLED DOCUMENT Assembly Layout Rules DS 13600 CONTROLLED DOCUMENT the ADS reference manual DOCUMENT the ADS data sheet CD ROM the software development tools CD ROM and more on the web http://www.alcatel.com/telecom/micro Fig. 7 C05 Libraries & Document reference 3 ADS Asic Design System 3.1 ADS description ADS is the result of thoroughly re-engineering the design methodologies that have supported the growth of the Alcatel Semiconductor Company on the market place. This effort is now released in a new quality-driven and consistent front-end and back-end environment. ADS is an open design system, based on the best commercial tools and standard interfaces between them (EDIF, Verilog, VHDL, SDF, PDEF, GDSII). The entry of the ADS system is RTL-level both in Verilog HDL and VHDL language. ADS offers, through the complete design flow, a consistent concept of timing constraints and delay, delay calculation, and library timing information. Based on this, ADS provides a tight coupling between engines for logic synthesis and place & route. This enables the ADS system to converge quickly to a design that meet the initial timing constraints. Within ADS front end, both Verilog & VHDL and co-simulation tools can be used. For the backend operation, Avant! floor-planning and place & route tools are supported. ADS provides an accurate characterisation of the libraries done at worst case with guaranteed accurate de-rating within a restricted range of voltage and temperature. ADS is a mixed mode design system. The realisation of analog blocks is done by using the most advanced design methodology for the analog components. ADS supports behavioural (HDLA) description of analog circuits. This allows to implement high level description of circuits that are used for the specification distribution during the co-design phase. In-depth design and verification of the analog circuits are done at the transistor level with SPICE simulation. Finally, top-level simulation is possible by running mixed mode simulation. Last but not least ADS is used to control the backend integration. In addition, ADS allows the mapping of the most common FPGA prototypes. The documentation is provided through an easy to use on-line documentation tool. ADS quality is ensured by a dedicated QA-flow whenever a new tool release is supported by the environment. 3.2 The value added re-usable blocks ADS includes several compilers for ROM and RAM blocks, as well as a long list of high added-value Application Specific Standard Blocks (ASFB) in the telecom and data-processing area. ADS brings into the design flow a family of embedded 8bit, 16bit and 32bit RISC |i-cores, capable to deliver up to 30 MIPS, and microprocessors peripherals: UART, DMA, IRQ, PIC, RTC,..., and telecommunication blocks: ISDN interface, HDLC controller, RS encoder, QAM demodulator, ... (see also our Internet web site: http://www.alcatel.com/telecom/micro). The ASFB strategy supports also value added analog blocks like broadband and/or high dynamic A/D and D/A converter, PLL, pass-band filters. 221 Informacije Ml DEM 28(1998)4, str. 218-222 H. Gugg-Schwaiger: Alcatel Microelectronis 0.5 pm Mixed CMOS Technology 4 THE QUALITY Alcatel Microelectronics is a quality-minded company. A state of the art Average Quality Level (AQL) of 3ppm is the result by today of a long and continuous improvement of the quality system put in place to track the defects. Methods like Iddq (test of Idd leakage current @Vdd_nom & all bias off) and Vstress/Vscreen (some real test-pattern, e.g. ScanPath, @ 1.4xVdd_max) are standard test methodologies put in place to reduce the AQL-level to the target number of 0.5ppm in the year 2000. This commitment to the quality has been re-enforced by the decision to fulfil the QS9000 by year 1999. 5 CMOSROADMAP The commitment of Alcatel Microelectronics to the state of the art mixed mode technologies is dedicated to mixed CMOS. The 0.5 pm mixed CMOS technology and the l2T technology (0.7 pm mixed high voltage CMOS) are in production since begin 1996. The 0.35 pm digital CMOS technology is in production since end 1997. The analog 0.35 CMOS technology is in prototype phase since mid 1998 and will be released for production begin 1999. The next generation will be 0.25 pm digital CMOS, start of the prototype phase is spring 1999, production release is forecasted begin 2000. 6 0.35 pm Mixed CMOS Technology preview Based on the same technology route as the 0.5 pm CMOS, the mixed mode CMOS 0.35 ¿urn will be available fall 98. This technology provides an increased digital density: About a factor of 4. It is based on a five-layer metal obtained by Chemical Mechanical Pla-narisation (CMP). This technology makes use of amorphous silicon gates. Analog options C035A, target parameters second Poly layer, capacitor Poly0-Poly1 linear capacitor, 1.1 nF/mm2, toi ±10%, Vel <20ppm/V, Vcq <10ppm/V, matching <0.1% (3 sigma) @W/L = 20/20 high-ohmic Poly resistor 1 kfi/sq, toi ±10%, voltage linearity: < 300 ppm/V temperature coefficient: < 2000 ppm/°C matching <0.5% (3 sigma) @W/L = 5/50 bipolar transistor vertical PNP 7 CONCLUSION Alcatel Microelectronics, leader of the mixed mode ASIC market, is bringing thetechnologiesforthe mixed-mode system-on-a-chip. This strategy is the result of an important investment in the technologies and design methodologies fitted for sub-micron design. This important step in the mixed mode design shows the move from large analog / small digital (Ad) to the large digital / small analog (Da) chip manufacture technique. This strategy is supported by a continuous improvement of the product quality. Finally, the commitment of Alcatel Microelectronics to the state of the art mixed mode technologies is now continued in the set-up of the first 0.35 jum mixed mode technology. 8 Acknowledgements I want to explicitly express my thanks to my colleagues from the Technical Marketing, Design, CAD and R&D departments for all the discussions and the perfect support. 9 REFERENCES /1/ B. Goffart, "C05 technology details", internal presentation, Alcatel Microelectronics, 13. May. 1998 /2/ J. De Greve, "CMOS 0.50um Technology Seminar", internal presentation, Alcatel Microelectronics, 1. October 1997 /3/ B. Goffart, "C035 short, The Dynamite Hardware", internal presentation, Alcatel Microelectronics, 3. July 1998 /4/ R. Lannoo, "ADS presentation May 98", internal presentation, Alcatel Microelectronics, 5. May, 1998 /5/ Internet web site of Alcatel Microelectronics: http://www.ai-catel.com/telecom/micro Hans Gugg-Schwaiger Alcatel Microelectronics Arabellastrasse 4, D-81925 München, Germany e-mail: hans.gugg-schwaiger@mie.alcatel.be Prispelo (Arrived): 21.09.98 Sprejeto (Accepted): 16.11.98 Fig. 8: C035 Analog options 222 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana THIN FILM COLOR DETECTORS BASED ON AMORPHOUS SILICON Marko Topic and Franc Smole Faculty of Electrical Engineering, University of Ljubljana, Slovenia INVITED PAPER MIDEM'98 CONFERENCE 23.09.98 - 25.09.98, Rogaska Slatina, Slovenia Keywors: optoelectronics, semiconductors, color detectors, RGB colors, Red Green Blue colors, thin film technologies, a-Si:H, Hydrogenated amorphous Silicon, PIIIN structures, Positive-Intrinsic-Intrinsic-Intrinsic-Negative structures, two-terminal structures, PIN-PINIP structures, Positive-lntrinsic-Negative-Positive-lntrinsic-Negative-lntrinsic-Posltive structures, PINIP-PIN structures, Positive-lntrinsic-Negative-lntrinsic-Positive-Positive-lntrinsic-Negative structures, three-terminal structures, TFA sensors, Thin-Film-on-Application-specific-integrated-circuits sensors, PECVD, Plasma-Enhanced Chemical Vapor Depositions, numerical modeling, TCO, Transparent Conductive Oxides, bipolar biases, forward biases, reverse biases Abstract: The operational principle of two-terminal and three-terminal three-color detectors with the a-Si:H-based multi-layer multi-bandgap structures is investigated. Two different approaches (the two-terminal and three-terminal approach, which lead to either unipolar or bipolar bias-controlled three-color detection, are described and evaluated in terms of spectral response, rejection ratio and color suppression with regard to illumination intensity and bias-light. For the two-terminal PIIIN structure, numerical simulation results showed strong negative correlation between color separation and bias-light sensitivity, i.e. the better the color separation the worse insensitivity to bias-light and stronger non-linearity with illumination intensity. For the three-terminal PIN/PINIP and PINIP/PIN structures, the thicknesses of the individual layers were first optimized for the detection of the fundamental chromatic components using the numerical simulator and afterwards fabricated and characterized. Amorfnosilicijevi tanko p lastni detektorji barv Ključne besede: optoelektronika, polprevodniki, detektorji barv, RGB barve rdeča zelena modra, tehnologije tankoplastne, a-Si:H silicij amorfni hidrogeniziran, PIIIN strukture pozitivno-notranje-notranje-notranje-negativno, strukture dvo-terminalne, PIN-PINIP strukture pozitivno-notranje-negativno-pozitivno-notranje-negativno-notranje-pozitivno, PINIP-PIN strukture pozitivno-notranje-negativno-notranje-pozitivno-pozitivno-notranje-negatlvno, strukture tro-terminalne, TFA senzorji tankoplastni na vezjih integriranih aplikacijsko specifičnih, PECVD nanosi CVD plazemsko izboljšani, modeliranje numerično, TCO oksidi transparentni prevodni, točke delovne bipolarne, točke delovne propustne, točke delovne zaporne Povzetek: Prispevek obravnava delovanje dvokontaktnih in trlkontaktnih trobarvnih detektorjev, ki temeljijo na večplastnih strukturah iz amorfnega silicija. Dvokontaktni pristop zaznava vse tri barve s spreminjanjem zunanje napetosti reverzne polaritete, trikontaktni pa s spreminjanjem zunanje napetosti obeh polaritet. Barvno zaznavanje smo za oba pristopa ovrednotili s spektralno občutljivostjo, rejekcijskimi faktorji in faktorji barvnega dušenja v odvisnosti od intenzitete osvetlitve in dodane osvetlitve. Za dvokontaktno PIIIN strukturo so numerični simulacijski rezultati pokazali močno negativno korelacijo med kvaliteto ločevanja barv in neobčutljivostjo na dodano svetlobo. Z izboljšano kvaliteto ločevanja barv torej izgubljamo na neobčutljivosti na dodano svetlobo. Hkrati postaja spektralni odziv PIIIN strukture v odvisnosti od intenzitete osvetlitve vedno bolj nelinearen. Za trikontaktne PIN/PINIP in PINIP/PIN strukture smo debeline posameznih plasti optlmirali za detekcijo osnovnih kromatskih komponent s pomočjo numeričnega simulatorja, jih izdelali in okarakterizirali. 1. INTRODUCTION Hydrogenated amorphous silicon (a-Si:H) thin film optoelectronic devices are not only easily applicable in intelligent image thin-film-on-application-specific-inte-grated-circuits (TFA) sensors /1/, but they can also be simply integrated upon amorphous, poly- or mono-crystalline readout electronics /2,3/. The optoelectronic properties of a-Si:H based films can be changed by deposition parameters of plasma-enhanced chemical vapour deposition (PECVD) process and by modifying the optical gap by the addition of carbon or germanium atoms in the plasma during the deposition. Such an approach enables detection from ultraviolet to the infrared /4,5/, High photosensitivity in the visible light spectrum, homogeneous deposition over large areas by PECVD, and low-cost fabrication make a-Si:H and its alloys a promising candidate for color detectors /6/. In multi-layer a-Si:H based structures for detection of two, three or more colors, spectral response is bias-controlled. Since all the signals (e.g. red, green and blue (RGB)) are bias-controlled at the same spatial detector position without the need of optical filters, the color-moire effect can be prevented. The possibility of producing large area photosensing arrays makes a-Si:H-based devices even more attractive. Recent advances in the two-terminal a-Si:H based three-color detectors exhibit the potential of these devices for the color sensor arrays. Unresolved speed limitation of the two-terminal transparent conducting oxide (TCO)/NIPIIN/metal detectors /7/ speaks in favour of the alternative two-terminal TCO/PIIIN/metal detectors /8,9/. In order to achieve bias-controlled spectral separation of fundamental chromatic components RGB, the PIIIN structures need to be band-gap profiled. To examine such an approach, the ASPIN numerical simulator is used. Beside two-terminal devices, a family of three-terminal three-color detectors based on stacked a-Si:H based structures is theoretically and experimentally investigated. The detectors have the structure 223 Informacije MIDEM 28(1998)4, str. 223-229 M. Topic, F. Smole: Thin Film Color Detectors Based _______onAmo rp h o us Silicon TCO/PhN/TCO/Pl2Nl3P/metal or TCO/PliNI2P/TCO/Pl3N/metal. Using the ASPIN numerical simulator, device physics of different design concepts is analyzed and presented. Optimization criteria deduced from simulation and experimental results and their comparison are investigated and discussed. 2. NUMERICAL MODELING The ASPIN computer model /10/ is used for steady-state analysis of different PIIIN structures with the aim to explain the red-green-blue (RGB) three-color detection mechanism and to gain detailed insight into the operating principle ofthe PIIIN structures. Forthethree-terminal structures, the ASPIN simulator was used to optimize the thickness of the individual layers. The light generation model is based on the particle nature of light and takes into account only the reflection at the front glass surface. The flux of photons is taken to decay exponentially. The absorption coefficient in each layer is wavelength dependent and corresponds to the imaginary part of the complex refractive index. Although the model does not account either for interference or for the numerous reflections in the multi-layer structure, the model gives a good agreement with the experimental results for structures deposited on rough TCO (with haze) /11,12/. For flat TCOs (without haze), an accurate numerical modeling should include the wave nature of light that accounts for light interference effects, especially in the long wavelength range of the visible light spectrum /13,14/. 3, UNIPOLAR BIAS-CONTROLLED COLOR DETECTION PRINCIPLE IN PIIIN DETECTORS For high short-circuit current in PIN a-Si:H solar cells, the collection efficiency is to be as high as possible throughout the whole visible spectrum. Under reverse bias, the collection efficiency (CE) usually only slightly improves. In a-Si:H color detectors, the CE must be bias controlled. Since PIIIN color detectors operate only under reverse bias, this detection principle is described as unipolar bias-controlled detection principle. By increasing the externally applied reverse bias the CE of PIIIN device can only improve. Thus, to enable different spectral response as a function of reverse bias, the CE at short-circuit conditions must be worse than that one of the PIN solar cell. The electric field plays a key role in governing the CE. To worsen CE ofthe PIIIN structure at short-circuit conditions, the built-in electric field must be weaker throughout the structure and strongly nonuniform. There are several possibilities: - to insert appropriate compensational doped layers next to the P and N layer, - to reduce the doping concentration in the P and N layer, - to use worse quality I layers with higher defect density. The thickness of constituent layers with their optical absorption coefficients (a) determine the spatial distribution of the photogeneration of excess carriers. Fig. 1 shows typical generation rate profiles in a P(a-SiC:H)li(a-SiC:H)l2(a-Si:H)l3(a-SiGe:H)N(a-Si:H) three-color detector. Since the P and H layer have a high optical gap (Eopt=2.2 eV), the photogeneration region of blue monochromatic illumination (X=450 nm) dominates in the front part of the PIIIN structure. The abrupt changes of profiles denote interfaces between layers and they are due to higher a in the subsequent layers with the lower optical gap. For red monochromatic illumination (X=630 nm), the photogeneration region spreads in the last third ofthe PIIIN structure. Simulations showed that strong non-uniform electric field profiles in the PIIIN structures always lead to an increased electric field in the front part of the structure, especially at the PH interface. Therefore, the PIIIN devices under low reverse bias detect only shorter wavelengths and under higher reverse bias the electric field strengthens throughout the structure, so the whole (a-SiC:H) h (a-Si:H) '3 (a-SiGe:H) 102' 10J0 'to "e o 1019 4> 1V 2 c ■B io18 2 W 105 10" 103 102 10' Thermal eq. X=450 nm X=530 nm X=630 nm o=ov 100 200 Position (nm) 300 400 Fig. 2 Calculated electric field profile of the optimized PvhizhnN structure fora three-color detector under different monochromatic illuminations and two biases. 224 M. Topic, F. Smole: Thin Film Color Detectors Based on Amorphous Silicon____________________Informacije MIDEM 28(1998)4Lstr. 223-229 range of the visible light spectrum is detected. In case of three-color detector, such a detection principle can generate three signals with the information: blue (B), blue+green (B + G), blue+green + red (B+G + R). The signals are to be transformed into the RGB components. However, the extraction is justified only if the three-color detector behaves as a linear system, i.e. linearly as a function of illumination intensity and insensitively to the bias-light. 4. THREE-COLOR PIIIN DETECTORS In the study of Ph I2N structures /15/, the built-in electric field was profiled using the compensational doping approach in the Pvh I2tcN structure or using the high-de-fect-density h* layer approach in the Ph*l2N structure. Both analyzed structures suffered the non-linearity and bias-light sensitivity. In the PI1I2I3N detectors, an a-SiGe:H layer with E0pt=1 -6 eV is added as the third I layer (I3) to improve the detection of the long wavelength illumination. The germanium content in a-SiGe:H increases the slope of the tail states and defect density. From /16/, a 5-times higher density of dangling bond states than in the l2(a-Si:H) layer was selected. The calculated generation rate profiles of the optimized PI1I2I3N structure with 10-100-150-115-25 nm thickness are shown in Fig. 1. Under short-circuit condition, the electric field should only assist in the collection of excess carriers from short wavelength photons (up to 450 nm). Thus, the built-in electric field should be high only in the front part of the structure, otherwise it should even change its direction. For this purpose, we inserted between the P and h layer a compensational N layer (v layer) and between the I3 and N layer a compensational P layer (jt layer). The built-in electric field profile of the optimized structure is shown in Fig. 2 (full line). Under short-circuit condition with monochromatic illumination, the electric field changes due to recharging of defects (Fig. 2). Increasing the reverse bias, the electric field recovers first for blue illumination, afterwards for green and finally, also for red illumination. For a good color separation, the doping concentrations in the compensational v and n layers are to be high or the same effect can be achieved by selecting the P and N layer with lower doping concentration. Calculated spectral response of the optimized PI1I2I3N structure as a function of reverse bias is presented in Fig. 3. Calculated current-voltage (J-U) characteristics of the optimized Ph I2I3N device for different monochromatic illuminations (1 mW/cm2) is plotted in Fig. 4. Current-voltage behavior under blue and green illumination is qualitatively similar to the behavior of the PIIN structures. In contrast to the PIIN J-U characteristics under red illumination, a postponed red response with regard to the reverse bias occurred. From the color-separation point of view, this postponed or sometimes even an S-shape behavior is beneficial, since it provides better rejection ratios under lower reverse bias, and different groups /8,9/ experimentally observed it. The origin comes from increased defect states in the I3 layer, hindering the extraction of excess carries therein due to increased recombination. The extent of increase of the defect states in the I3 layer increase determines the 0.5 0.4 £ ;> 1 m a! 0.1 0.0 Fig. 3 Calculated spectral response ot the optimized P/1/2/3A/ structure for a three-color detector as a function of reverse bias. 0.0 H -0.2 £ c ■8 -0.3 I d -0.4 -0.5 -2 -1 0 Voltage (V) Fig. 4 Calculated J-U characteristics of the optimized P/1/2/3/V device for different monochromatic illuminations (1 mW/cm2). triggering reverse bias, beyond which the red response steeply starts to increase. 4.1. Examination of bias-light and illumination intensity For correct extraction of an RGB signal, the PIIIN three-color detectors should exhibit no monochromatic bias-light dependence. We examined the bias-light dependence under the short (450 nm) and long wavelength (650 nm) bias-light. The optimized three-color PIIIN structure, exhibits weak bias-light dependence under short-circuit (detection of B) and under high reverse bias (detection of B+G + R). Unfortunately, strong bias-light dependence occurs in the middle Wavelength (nm) 225 M. Topic, F. Smole: Thin Film Color Detectors Based Informacije MIDEM 28(1998)4, str. 223-229____________________________ on Amorphous Silicon range of reverse bias (Fig. 5). Significant variation of the spectral response is due to the redistribution of the electric field caused by the recharging of defects in the front or the rear part of the device for short wavelength or long wavelength bias-light, respectively, We managed to mitigate the bias-light dependence by reducing the thickness of the device. At the same time, reduced thickness almost proportionally shrinks the reverse bias range of detection, but it affects the spectral response only in the long wavelength region. The results showed that the bias-light dependence correlates with the reverse bias dependence of the long wavelength spectral response (under no bias-light). The higher the variation of red response as a function of reverse bias is, the more bias-light dependent is the device. To reduce the bias-light dependence, we have to sacrifice the suppression of long wavelength response under short-circuit conditions, resulting in a worse color separation. Thus, a trade-off between good color separation and low bias- 0.5 f Fig. 5 400 500 600 700 Wavelength (nm) Calculated spectral responses of the optimized 400 nm thick PIIIN color detector for (0 V, -0.5 V, -2 V) bias without (full line), with 450 nm (dash-dot-dot line) and 650 nm (dashed line) monochromatic bias-light (1 mWlcm2). 400 500 600 700 Wavelength (nm) Fig. 6 Calculated spectral responses as a function of illumination intensity (0.001, 0.1, 10 mW/cm2). light sensitivity is in the unipolar bias-controlled PIIIN structures unavoidable. All structures were also examined for different illumination intensities, ranging from /jW/cm2 up to 100 mW/cm2. Again, larger differences in spectral response arose only in the middle reverse bias range (Fig. 6). Simulations showed that thinner devices exhibit better linearity. Again, a trade-off between good color separation and illumination linearity together with bias-light sensitivity is therefore necessary. 5. BIPOLAR BIAS-CONTROLLED DETECTION PRINCIPLE IN THREE TERMINAL DETECTORS A family of bipolar bias-controlled three-terminal three-color detectors based on stacked a-Si:H based structures has recently been proposed /17,11,12/. The detectors have the structure TCO/PliN/TCO/PI2NI3P/metal or TCO/PliNl2P/TCO/Pl3N/metal. Numerical analysis of both stacked structures, and the optimization of their layer thicknesses for the detection of the fundamental chromatic components - blue, green and red - was performed using the ASPIN numerical simulator /17/. 5.1. Bipolar bias-controlled detection principle The TCO/PhN/TCO/Pl2Nl3P/metal structure (Fig. 7a) consists of a top PHN diode and two anti-serial diodes in the sequence PI2NI3P accompanied by three contacts (TCO1, TC02 and metal). The PHN diode independently detects the blue color under reverse bias (U1 <0 V), while the PI2NI3P structure acts under differ- a) Fig. 7 b) Schematic view of a three-terminal detectors a) TCO/PhN/TCO/PI2NI3P/metaland b) TCO/PhNI2P/TCO/PI3N/metalstructure. 226 M. Topic, F. Smole: Thin Film Color Detectors Based onAmo rp h o us Silicon Informacije MIDEM 28(1998)4, str. 223-229 ent polarity of the externally applied voltage U2 as the photodetector for the green or red color. For a negative bias (U2<0 V), the PI2N diode operates as a photodetector and the NI3P diode as an impedance. While most of the high energy photons (blue light) are already absorbed in the top PI1N diode, the photons of green light generate electron/hole pairs mainly in the PI2N diode. The red light has the longest penetration depth, and it should be collected in the NI3P diode under a positive bias (U2>0 V), under which the PI2N diode operates as an impedance and the NI3P as a photodetector. Since both polarities of bias are used, this color detection principle is called bipolar bias-controlled principle. lntheTCO/PhNI2P/TCO/Pl3N/metal structure (Fig. 7b), the PI3N diode detects the red color independently (U2<0 V), while the PI1NI2P structure acts under application of different bias voltages as a photodetector for the blue and green color (analogous to the operation of PI2NI3P discussed above). The only sophistication is the three-terminal approach that requires some additional technological steps (deposition of TC02, interconnections), which have already been successfully utilized for parallel-connected tandem solar cells /18/. With regard to the electronic detection system, this three-terminal approach for the detection of three colors is even more simple than the two-terminal approach. 5.2. Simulation results Simulations and optimization of the TCO/PliN/TCO/Pl2NI3P/metal and TCO/PliNI2P/TCO/Pl3N/metal structure was performed using the ASPIN numerical device simulator. The optimized thicknesses of the individual layers are listed in Table 1, For the top H-layer and all P-layers, a wide bandgap (a-SiC:H) material is used. The N layer in the PI1NI2P structure is thicker in order to provide spectral separation between blue and green color, and hence to have good rejection ratios (>2.0). The calculated spectral responsivity of the TCO/PHNl2P/TCO/Pl3N/metal structure is plotted in Fig. 8. The structure exhibits narrow spectral responses (full width half magnitude - FWHMs below 150 nm) and high rejection ratios: for the PI1NI2P structure both at 430 nm (R-iv/R+iv= 3.0) and at 530 nm (R+iv/R-iv= 5.3). The color suppression is R43onm/Rs3onm= 5.6 at U1 = -1 V and R53onm/R43onm= 2.8 at U1 = +1 V . For the PI3N diode, which independently detects red color at reverse applied voltage, the color suppression is also good (R63onm/R53onm= 2.7 at U2= -1 V). 0.25 £/,=—1V U2~ IV ^{7,=+IV 0.00 300 400 500 600 700 800 Wavelength X (nm) a) Fig. 8 0.00 300 400 500 600 700 800 Wavelength A. (nm) b) Calculated (lines) and measured (lines drawn as guides for the eyes with symbols) spectral responsivity of a) TCOIPIiN/TCOIPl2Nl3Plmetal and b) TCO/PhNI2P/TCO/Pl3N/metal structure. Table 1 Optimised geometrical parameters of three-terminal three-color detectors TCO P h N TCO P la N la P i ds (nm) 1000 5 40 5 1000 11 50 140 369 11 ! dE (nm) 740 10 30 10 1000 10 50 130 270 20 TCO P Ii N I2 P TCO P Is P ds (nm) 1000 5 35 45 60 11 1000 30 365 20 dE (nm) 1000 10 35 60 60 11 1000 20 365 20 S- simulation; E - experiment 227 Informacije MIDEM 28(1998)4, str. 223-229 M. Topic, F. Smole: Thin Film Color Detectors Based __onAmo rp h o us Silicon io-2 £> IO"4 t I IO"6 o -C eu io-7 ^ IO"2 re o < 10-3 •C £> 10-4 § io-6 o Fig. 9 Calculated (lines) and measured (symbols) photocurrent vs. photon flux under blue (430 nm) and green (530 nm) and red (630 nm) illumination of a) TCO/PhN/TCO/PfeNfeP/metaland b) TCO/PhNl2P/TCO/Pl3N/metal structure. Simulations showed that both structures had a linear photocurrent/generation-rate relationship for all three colors at peak wavelengths 450, 530, 635 nm, applying a bias of ±1 V or more (Fig. 9). This linearity allows all three colors to be easily detected with adequate rejection ratios. 5.3. Experimental results A conventional two-chamber PECVD deposition system (30 x 30 cm2) was used for the deposition of PIN and PINIP structures. A smooth glass/indium tin oxide substrate was used for the front TCO, and sputtered ZnO for the second TCO. Patterning steps were made by laser scribing /18/. We started the experimental investigation with the TCO/PhN/TCO/Pl2NbP/metal structure. It had already been demonstrated /11/ that the PI2NI3P structure exhibits very good (>3.0) rejection ratios and color suppressions between green and red color (Fig. 8a, lines with symbols). However, the thickness of the top PI1N diode (10-30-10 nm) was too small to prevent the shunt defects, thus hindering the detection of the blue color. We managed to reduce the top PHN diode thickness (while preserving its functionality) to 90 nm. But, the spectral response is too broad (FWHM=210 nm) and the maximum is located at 455 nm. Despite the h layer thickness reduction, the spectral responsivity of PHN diode decreases very slowly in the wavelength region above 500 nm, indicating that an a-SiC:H material with a higher optical gap should be preferred. The problem of the top PHN diode functionality was solved with the TCO/PH Nl2P/TCO/Pl3N/metal stacked structure. Since the whole PH NI2P (10-35-60-60-15 nm) structure was around 180 nm thick, local defects impeding the photodetection function were eliminated. The fabricated PI1NI2P structure shows good detection of blue and green color (Fig. 8b; lines with symbols). It exhibits narrow spectral responses (FWHM(ui=-iV) = 165nm and FWHM > Q m 1 Percent Fig. 3. QM44 breakdown voltage using 7484 3:1 Ag-Pd conductor. The breakdown voltage (BDV) of the dielectric is shown in Fig. 3. The data is presented as a probability plot, showing the percentage of BDV data less than the Y-axis values. Data is plotted for two different dielectric thicknesses. Excellent BDV results were obtained even at approximately 25 ^m thickness, though the distribution of low breakdown voltage values was better at 30 jum. The electrode was a 3:1 Ag-Pd sequential fire conductor printed to 17 ¡im squares. Only minor differences in QM44 BDV have been observed when using Ag-Pd, Ag-Pt, and pure Ag conductors, and between cofired and sequentially fired builds. The average BDV in Fig. 3 is 2.2 kV normalized to 30 yum. QM44 HHBT: 5 V, 85°C, 85% RH QS179 bottom (+), QM22 top (-) r—i 100 E a Q. 80 a> 60 ro EC 40 « 20 ra LL 0 -a-Lot 1 -»-Lot 2 Fired QM44 thickness = 28 ^m 200 400 600 # Hours 800 1000 High humidity biased test (HHBT) data is presented in Fig. 4. The bottom electrode selected was QS179 Ag-Pt, and the top conductor was QM22 cofired Ag-Pd, Test conditions were 5 V DC, 85°C and 85% relative humidity (RH). A total of 40,000 crossovers were evaluated in the test for each dielectric lot. The line widths were 250 jum, and the dielectric was tested at 28 /jm fired thickness. No surface encapsulation was employed. Only one short was detected, at the 1000 hour mark. Conductors Excellent conductor adhesion is a key feature of this system. High aged adhesion with the new dielectric is obtained with a variety of standard conductors, as depicted in Fig. 5. The solder employed in Fig. 5 was 62/36/2 Sn/Pb/Ag. Fig. 4. High humidity biased test results with QM44 (unencapsulated). Fig. 5. Soldered aged adhesion of a range of standard conductors over QM44 dielectric. The conductors are 3:1 Ag-Pd (7484, QM21, 7474, 6474), 6:1 Ag-Pd (6277, 6134), Ag-Pt (QS171), and pure Ag (6160). The 7484 was not cofired in this test. The soldered aged adhesion over QM44 of QM22, a new cofired, 3:1 Ag-Pd composition, is shown in Fig. 6. The adhesion failure mode was solder/conductor separation, indicating superior adhesion of the conductor to the dielectric. The failure rate of this conductor after thermal cycling is shown in Fig. 7. In this test, the standard wire peel geometry was used, but the test was modified to measure electrical continuity instead of adhesion. Three pads were soldered together in a row with a single wire, and each part employed three rows of wires connected together electrically in a daisy chain pattern. Furthermore, the edges of the pads were covered with an organic solder stop in a so-called window-frame geometry /1,5/. Failure was defined as an electrical open. Ten parts were tested, employing a total of 90 pads (30 wires). No failures were observed through approximately 800 thermal cycles. Thermal cycle data with wire peel geometries can sometimes lead to difficulties in data Interpretation if the failure modes don't match those with actual components /6,7/. The failure mode of most concern with multilayer constructions is cracking or dlvoting into the dielectric layer, a brittle type of failure mode often associated with failure at low numbers of thermal cycles /1,3,5,8/. To be certain that early thermal cycle failures 232 M.H. LaBranche et al.: Next-Generation, Advanced Thick Film Multilayer System informacije MIDEM 28(1998)4, str. 230-235 c o w 0) ■c ■o (C ■D « D) < 40 30 20 10 QM22 aged adhesion 150°C, 60/40 Sn/Pb 100 200 # Hours 300 Fig. 6. Aged adhesion (min/max) of QM22 cofired 3:1 Ag-Pd conductor over QM44 dielectric. associated with dielectric cracking won't occur, the most unambiguous thermal cycle data is obtained with actual soldered components. The reliability after thermal cycling of several electronic components soldered to the QM22 cofire Ag-Pd conductor over the QM44 dielectric was previously published /3/, showing good reliability through 1000 cycles of -40/125°C. Similar thermal cycle data with components soldered to the 7484 sequential fire Ag-Pd conductor over QM44 was also presented previously /1,3/, again showing good performance through 1000 cycles. -40/125°C, 2 hour profile « CE 4) 3 « 100 80 60 40 20 Daisychained wire peel geometry Windowfrarned QM22 cofired 3:1 Ag-Pd, 60/40 Sn/Pb fr1 § ■ § 200 Fig. 7. 400 600 800 1000 # Cycles Thermal cycled reliability of QM22/QM44, using a 3-pad wire peel orientation. 50 urn Au wire bond, Cd-free Au pastes 60 -,-,-,-,-,- m E to 2 « o 3 Q, 40 20 zuirx:]^: 5771, 170°C IT. o 5775, 150°C «f CM o o to o o o o lO 8 o Fig. 8 Wire bond aged adhesion of Au conductors with 50 micron Au wire. The new dielectric is also compatible with wire bondable gold compositions, including newer Cd-free materials. Wire bond aged adhesion with 50pm Au and 250 pm Al wire is shown in Figs, 8 and 9, respectively. The data is presented as boxplots, with each box typically representing approximately 30 data points. The median adhesion values are shown at the center of the boxes, with the 25% and 75% points in the distributions at the bottom and top of the boxes, and the extremes of the data shown by the lines from the boxes (open circles are also part of the distributions, but are statistical outliers, and fall outside of the lines. The pull test failure mode after ageing in all cases was 100% wire breaks - failures were either within the wire, or at the heel of the first or second bond sites. No metallization lifts or bond lifts were observed, indicating superior adhesion of the Au pastes to the dielectric, and of the wire to the Au pastes. The reduction in pull strength in Fig. 9 with the 250 pm Al wire is believed to be due to annealing of the Al wire. 250 ¡.im Al wire bond, Cd-free Au paste « 600 E «3 i-n 400 u D O. 200 0 5775, 315°C Oh 1.25 h Fig. 9 Wire bond aged adhesion of Au conductor with 250 micron Al wire. Via Fill A new via fill material, QM35, was developed to facilitate cofiring in this system. Control of the metal particle size for reduced shrinkage was essential in order to allow cofiring without cracking around the vias. However, since this material must connect Ag-Pt substrate conductor and Ag-Pd top conductor, the via fill must fire sufficiently densely to prevent electrical opens on retiring that can occur due to Kirkendall-type void formation. The circuit yield in a manufacturing setting with this material was described previously /3/, indicating no opens after a total of 10 firings when connecting Ag-Pt and Ag-Pd materials. Furthermore, preliminary data shows this via fill material to be useful in connecting pure silver and gold conductors, as depicted in Fig. 10. The conductors, dielectric, and via fill in Fig. 10 were all fired sequentially. The dielectric was printed to a total of 30pm fired, with 10pm vias. No opens were obtained through three top Au conductor refirings (four total firings), with approximately 4 ppm opens occurring after 5 retires, and larger amounts of opens occurring only from 10-15 refirings. For circuits that employ wire bondable top Au traces, there might also be resistors and solderable Ag-Pd conductor pads at the top surface, so that the Au prints might be subject to a limited 233 Informacije Ml DEM 28(1998)4, str. 230-235 M.H. LaBranche et al.: Next-Generation, Advanced Thick Film Multilayer System amount of retiring. The expected retiring is within the ability of the QM35 via fill to connect the Ag and Au lines without electrical opens. QM14 Ag (bottom), QM35 via fill, QM31 Au (top) 80 0) .....—................. wi .....................,f""Y.....""'""j wâ f 1 ■ u m =r 234 M.H. LaBranche et al.: Next-Generation, Advanced Thick Film Multilayer System Informacije Ml DEM 28(1998)4, str. 230-235 RFFFRFNHFC; feral ft™,! 1 I V SU« /1/ R.L Keusseyan, M.H. LaBranche, and K.W. Hang, "ThickFilm Multilayer Material Systems for Thermal Cycle Applications", Proceedings ISHM, Boston, Nov. IS-17,1994, pp.185-190. /2/ D.H.R. Sarma, F.E. Richter, M.G. McDermott, C.R.S. Needes, C.J. McCormick and D.K. Anderson, "Multilayer Materials System for Automotive Applications", Proceedings ISHM, Los Angeles, California, Oct. 24-26,1995, pp. 497-502. /3/ M.H. LaBranche, C.J. McCormick, J.D. Smith, C.R.S. Needes, K.W. Hang, J.K. Isenberg, A.W. Schubring, C.R. Coapman, C.A. Paszkiet, F.P. Lautzenhiser, M.E. Ellis, and D. H. R. Sarma, "Next-Generation Engine Corctrol Modules Using Advanced Multilayer Technology Materials", Proceedings ISHM, Minneapolis, Oct. 8-10, 1996, pp. 476-481. /4/ Introduction to Ceramics, 2nd Ed., W.D. Kingery, H.K. Bowen, D.R. Uhlmann, John Wiley & Sons, NY,1976. /5/ R.L. Keusseyan and J.L. Dilday, "Barrier Thick Film Approach for the Enhancement of Thermal Cycling Reliability of Soldered Thick Films", Proceedings ISHM, Dalian, Texas, Nov. 9-11,1993, pp. 44-49. /6/ K. Yamamoto, S. Uchida, H. Kojo, H. Hara, Y Yamamoto, B. E. Taylor, and M. H. LaBranche, "Thermal Cycle Performance of Ag-Bearing Thick Film Conductors for Automotive Applications", Proceedings European IEMT, Mainz, April 1-3,1992. 17/ K. Yamamoto, S. Abou, S. Uchida, H. Kojo, H. Hara, Y Yamamoto, B. E. Taylor, and M. H. LaBranche, "High-Reli-abilily Silver-Bearing Thick Film Conductors for Automotive Applications", Proceeding ECTC, San Diego, May 18-20,1992. /8/ R.L. Keusseyan, P.T. Goeller, L..E. Dellis, J.R. Thrash, T.G. Davenport and R.F. Hazelwood, "Thermal Cycling of Soldered Thick Films Parti: Theory, and Part 2: Experiment", Proceeding ISHM, Chicago, 0ct.15-17,1990, pp.190-202. /9/ A.T. Walker, L.A. Silverman, K.W. Hang, T. Pfeiffer, V.P. Siuta, L.H. Slack, and R.J. Bouchard, "A New Hybrid Resistor System forTCR Control and Process Insensitivity", Proceedings ISHM, Dallas, Nov. 9-1 1,1993, pp. 695-699. /10/ H. Kanda, R.C. Mason, C. Okabe, J.D. Smith and R. Velasquez, "Buried Resistors and Capacitors for Multilayer Hybrids", Proceedings ISHM, Los Angeles, Oct. 24-26,1995, pp. 47-52. Marc H. LaBranche, Cornelius J. McCormick, Jerome D. Smith, Roupen L. Keusseyan, Robert C. Mason, Mark A. Fahey, Christopher R. S. Needes DuPont Electronic Materials, Research Triangle Park, NC Kenneth W. Hang DuPont Electronic Materials, Experimental Station, Wilmington DE The paper was presented by Mr. Alan Buckthorpe Prispelo (Arrived): 21.9.1998 Sprejeto (Accepted): 16.11.1998 235 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana MIDEM KONFERENCA - POROČILO MIDEM CONFERENCE - REPORT 34th INTERNATIONAL CONFERENCE ON MICROELECTRONICS, DEVICES AND MATERIALS With the Satellite Minisymposium on SEMICONDUCTOR RADIATION DETECTORS September 23. - 25.1998 HOTEL SAVA Rogaška Slatina, SLOVENIA CONFERENCE REPORT 236 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana ORGANIZER MIDEM - Society for Microelectronics, Electronic Components and Materials Dunajska 10, 1000 Ljubljana, SLOVENIA CONFERENCE SPONSORS Ministry of Science and Technology of the Republic of Slovenia Iskra IEZE Holding d. o. o , Ljubljana, Slovenia ELES, Ljubljana, Slovenia STEKLARNA ROGAŠKA d. d., Slovenia IEEE Slovenia Section IMAPS Slovenia Chapter INTERNATIONAL PROGRAMME COMMITTEE Marko Hrovat, Jožef Stefan Institute, Ljubljana, Slovenia, Chairperson Dejan Križaj, Faculty for Electrical Engineering, Ljubljana, Slovenia, CoChairman Cor Claeys, IMEC, Leuven, Belgium Gerhard W. Herzog, Technische Universität, Graz, Austria Bruno Cvikl, Faculty for Civil Engineering, Maribor, Slovenia Slavko Amon, Faculty for Electrical Engineering, Ljubljana, Slovenia Marija Kosec, Jožef Stefan Institute, Ljubljana, Slovenia Bojan Jenko, Ministry of Science and Technology of the Republic of Slovenia Wilhelm Kusian, SIEMENS Corporate Research & Development Department, München, Germany Peter Panjan, Jožef Stefan Institute, Ljubljana, Slovenia Stane Pejovnik, National Institute of Chemistry, Ljubljana, Slovenia Wolfgang Pribyl, SIEMENS EZM, Villach, Austria Nava Setter, Ecole Polytechnique Federal de Lausanne, Lausanne, Switzerland Giovanni Soncini, University of Trento, Trento, Italy Iztok Šorli, MIKROIKS d. o. o. , Ljubljana, Slovenia Jiri Toušek, Charles University, Prague, Czech Republic Lojze Trontelj, Faculty for Electrical Engineering, Ljubljana, Slovenia Anton Zalar, ITPO, Ljubljana, Slovenia Miloš Simora, Technical University, Košice, Slovakia Leszek J. Golonka, Technical University, Wroclaw, Poland Zsolt Vitez, Technical University, Budapest, Hungary CONFERENCE ORGANIZING COMMITTEE Meta Limpel, MIDEM, Ljubljana, Slovenia, Chairperson Milan Slokan, MIDEM, Ljubljana, Slovenia Igor Pompe, Iskra IEZE Holding, Ljubljana, Slovenia Miloš Komac, Ministry of Science and Technology of the Republic of Slovenia Rudolf Ročak, MIKROIKS d. o, o., Ljubljana, Slovenia Iztok Šorli, MIKROIKS d. o. o., Ljubljana, Slovenia MINISYMPOSIUM ORGANIZING COMMITTEE The Minisymposium will be organized by the Laboratory for Electron Devices of the Faculty of Electrical Engineering, Ljubljana, Slovenia aided by a minisymposium program committee: Dejan Križaj and Slavko Amon, Laboratory for Electron Devices, Faculty of Electrical Engineering, University of Ljubljana, Slovenia Vladimir Cindro and Marko Mikuž, Department for Elementary Particle Physics, Institute Jožef Stefan, Slovenia Walter Bonvicini and Andrea Vacchi, INFN Trieste and University of Trieste, Italy Giovanni Soncini and Giorgio Pignatel, IRST Trento and University of Trento, Italy 34th International Conference on Microelectronics, Devices and Materials, MIDEM '98, continued the tradition of annual international conferences organized by MIDEM Society. These conferences have always attracted a large number of Slovene and foreign experts working in these fields. Again this year our scientists had the opportunity to present their work at home to the international public and to meet and discuss trends, news and problems related to their fields of work. Topics covered by the conference were quite diverse. 55 papers in nine sessions in three days were presented. Starting this year, the programme of the MIDEM Conference was expanded by the organization of satellite minisymposia. This year Minisymposium on SEMICONDUCTOR RADIATION DETECTORS was organized. A special report on this event will be given in the next issue of the Journal. The work of the Conference was divided into several sessions as follows: Ceramics, Metals and Composites; Device Physics and Modeling; Technology and Devices; Integrated Circuits; Semiconductor Radiation Detectors; Sensors; Optoelectronics; Thick Films and Thin Films. As every year distinguished invited speakers gave an overview presentations as introductions to these sessions. All invited papers are presented in this issue as special contributions. Some statistical data: Number of participants: total 72, 21 from abroad Number of papers published in the Proceedings: total 55, 16 from abroad Participant countries: Slovenia, Italy, Switzerland, Austria, Germany, France, England and Argentina Conference Proceedings was published before the Conference and has 354 pages. It can be ordered through MIDEM Society. For the sake of completeness we here present complete Conference program, as well as the list of participants. 237 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana CONFERENCE PROGRAM WEDNESDAY, SEPTEMBER 23 08:45 WELCOME AND OPENING CEREMONY 09:00 SESSION ON CERAMICS, METALS AND COMPOSITES CHAIR: M. Kosec 09:00 INVITED PAPER K. Reichmann, N. Katsarakis, A. Reichmann: Electronically Conductive Perovskite Type Materials 09:45 COFFEE BREAK 10:00 G. Dražič: Analytical Electron Microscopy of Grain Boundaries in Advanced Ceramic Materials 10:15 M. I. Valič, J. Stepišnik: An Ultrasonic Shear Wave Apparatus and its Applications in Materials Research 10:30 M. Holzinger, W. Jantscher, I. Rom, W. Sitte, K. Reichmann, B. Trummer, O. Fruhwirth, G. W. Herzog: Conductivity Relaxation and Oxygen Exchange Experiments on Mixed Conducting Oxides at High Temperatures 10:45 K. Žužek, P. J. McGuiness, B. Saje, S. Kobe: Gaseous Interactions With Sm-Fe and Sm-Fe-Ta Inter Metallic Alloys 11:00 M. Marinšek, K. Zupan, J. Maček: Improvement of Sintering Conditions in Cofire Processing of SOFC Anode and Interconnect Materials 11:15 M. Hrovat, S. Bernik, J. Hole: Evaluation of SrRu03 as a Possible SOFC Thick Film Cathode 11:30 M. Pinterič, S. Tomič, J. U. von Schutz: Transport Properties of Charge-density Wave in the (2,5(OCH3)2DCNQI)2LI 12:00 LUNCH 14:30 SESSION ON DEVICE PHYSICS AND MODELLING CHAIR: S. Amon 14:30 INVITED PAPER S. Sokolič, S. Amon: Models for Carrier Transport in the Base of npn SiGe HBTs 15:15 B. Cvikl, D. Korošak, M. Koželj: Evidence of Interface Charge Density Bias Voltage Dependence of ( Ua=300V) Ionized Cluster Beam Deposited Ag and Pb/p-Si(100) Schottky Junctions 15:30 D. Korošak, B. Cvikl, M, Koželj: On the Origin of a Possible Disorder Induced Charge Transport in ICB Schottky Structures for Nonzero Acceleration Voltage 15:45 A. Vercik, A. Faigon: Currents Modelling for a Metal Oxide Semiconductor Tunnel Diode Pulsed in Inversion 16:00 SESSSION ON TECHNOLOGY AND DEVICES CHAIR: J. Trontelj 16:00 INVITED PAPER H. Gugg-Schwaiger: Alcatel Microelectronics 0. 5 jum Mixed CMOS Technology 16:45 COFFEE BREAK 17:00 SESSSION ON TECHNOLOGY AND DEVICES CHAIR: J. Trontelj 17:00 J. Cernetic: Development of the 500V Electrolytic Capacitors, Problems and Solutions 17:15 S. Malnaric, J. Rozman, A. Bukovec, A. Dragos, B. Pozek, N. Marentic, S, Fir, F. Smole, J. Furlan: Ionization in Metallized Foil Capacitors 17:30 S. Bernik, A. Tavcar, M. Cergolj, Bui Ai: ZnO Based Varistors for Medium Voltage Arresters 17:45 B. Ferk, S. Amon, S. Sokolic: Analysis of SiGe Heterojunction Bipolar Transistors at Low Temperatures 18:00 D. Resnik, U. Aljancic, D. Vrtacnik, M. Cvar, S. Amon: Low Temperature Direct Bonding of Silicon Wafers for Pressure Sensor Application 18:15 SESSSION ON INTEGRATED CIRCUITS CHAIR: J. Trontelj 18:15 D. Raic: Performance Evaluation and Optimization Problems of CMOS Latching Circuits 18:30 D. Strle: Low-power, Analog Front-end for Voice Applications 18:45 S. Starasinic: CMOS Differential Line Drivers and Receivers 19:00 J. Trontelj jr., J. Trontelj: CAD Topology Evaluation Tool for Integrated Current Measurement Magnetic Circuit 20:00 COCKTAIL 238 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana THURSDAY, SEPTEMBER 24 08:30 MINISYMPOSIUM ON SEMICONDUCTOR RADIATION DETECTORS TUTORIAL SESSION CHAIR: D. Krizaj 08:30 INVITED PAPER R. Richter, G. Lutz: Semiconductor Radiation Detector Physics and Structures 09:20 INVITED PAPER P. Weilhammer: Semiconductor Radiation Detector Devices and Applications 10:10 INVITED PAPER W. Bonvicini: Semiconductor Radiation Detector Characterization and Measurements 11:00 INVITED PAPER V. Re: Front End Electronics for Radiation Detectors 12:00 LUNCH 14:30 MINISYMPOSIUM ON SEMICONDUCTOR RADIATION DETECTORS REGULAR SESSION CHAIR: G. U. Pignatel 14:30 CMS Silicon Group: Review of the R&D Program on Silicon Microstrip Detectors in CMS 14:45 F. Arfelli, V. Bonvicini, A. Bravirs, G. Cantatore, E. Castelli, M. Fabrizioli, R. Longo, A. Olivo, S. Pani, D. Pontoni, P. Poropat, M. Prest, L. Rigon, F. Tomasini, G. Tromba, A. Vacchi, E. Vallazza: A Multilayer Silicon Microstrip Detector for Single Photon Counting Digital Mammography 15:00 T. Mali, V. Cindro, M. Mikuž, R. Richter: Effect of Cutting Distance on Noise of Silicon Microstrip Detectors 15:15 M. Boscardin, L. Bosisio, N. C. Barnea, G. F. DaNa Betta, L. Ferrario, G. U. Pignatel, M. Zen, N. Zorzi: First Results on Double-sided AC-coupled Silicon Strip Detectors 15:30 M. K. Gunde, V. Cindro, M. Mikuž, E. N. Orlowska: Annealing of Infrared Active Defects in Neutron-irradiated Silicon Samples 15:45 D. Križaj, D. Vrtačnik, S. Amon: Configurations of JFET and Detector on High-resistivity Silicon Wafer 16:00 D. De Venuto, F. Corsi: Investigation of Radiation Damages in Analogue Front-end of a Pixel Detector 16:15 R. Turchetta, Y, Hu, C. Colledani, V. Frick, A. Loge, Y. Zinzius: Low-noise Mixed Mode VLSI ASICs for Hybrid VLSI Radiation Detectors 16:30 A. Vacchi: The NINA Experiment: A Satellite Born Silicon Telescope for the Study of the Isotopic Composition of Cosmic Rays 16:45 R. Delia Marina: Design and Production of Silicon Radiation Detectors for the Future High-energy Physics Experiments, An Industrial Point of View 17:00 COFFEE BREAK 17:15 SESSION ON SENSORS CHAIR: M. Maček 17:15 M. Maček: Polysilicon Microbolometer 17:30 U. Aljančič, K. Požun, D. Resnik, D. Vrtačnik, M. Topič, F. Smole, J. Furlan: A Capacitive Humidity Porous Silicon Sensor 17:45 M. Pavlin, S. Šoba, D. Belavič: Cheap ASICs vs. Discrete Electronics in Sensor Applications 18:00 Visit to the GLASS FACTORY ROGAŠKA SLATINA 20:00 CONFERENCE DINNER FRIDAY, SEPTEMBER 26 08:30 SESSION ON OPTOELECTRONICS CHAIR: J. Furlan 08:30 INVITED PAPER M. Topic, F. Smole: Thin Film Color Detectors Based on Amorphous Silicon 09:15 Ž. Gorup, J. Furlan, F. Smole: Influence of Tunneling Charge Carriers on Forward Characteristics of P+N+ a-Si:H Junction 09:30 M. Vukadinovič, F. Smole, M. Topič, J. Furlan: Inclusion of the Trap-assisted Tunnelling Mechanism in the a-Si:H Heterostructures Numerical Modelling 09:45 K. Brecl, F, Smole, J. Furlan: Comparison of Conventional and Thin Film Multilayer Solar Cell Structures 10:00 D. Vrtačnik, D. Križaj, D. Resnik, U. Aljančič, S. Amon: Ultraviolet-enhanced Sensitivity of Silicon Photodiode 10:15 COFFEE BREAK 239 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana 10:30 SESSION ON THICK FILMS CHAIR: M. Hrovat 10:30 INVITED PAPER M. H. LaBranche, C. J. McCormick, J. D. Smith, R. L. Keusseyan, R. C. Mason, M. A. Fahey, C. R. S. Needes, K. W. Hang: Next-generation, Advanced Thick Film Multilayer System 11:15 S. Macek, D. Rocak, S. Mojstrovic: Evaluation of Thick Film Conductor and Dielectric Paste Developed for AIN Substrates Application Used with Resistor Paste of Standard Composition 11:30 K. Bukat, B. Smejda: Solder Paste for "Fine-pitch" Technology 11:45 D. Belavic, M. Pavlin, M. Hrovat: Evaluation of Thick Film Materials for Diffusion Patterning - Preliminary Results 12:00 M. Hrovat, J. Hole, Z. Samardzija, D. Belavic: Characterization of "Equilibrated" Thick Film Resistors 12:15 I. Sorli, D. Rocak, J. F. Plut, R. Rocak, B. Pracek: Microwave Plasma Cleaning Influence on Chip Wire Bonding Quality on Hybrid Circuits 12:30 COFFEE BREAK 12:45 SESSION ON THIN FILMS CHAIR: P. Panjan 12:45 M. K. Gunde, M. Maček: Infrared Spectroscopic Characterization of Silicon Nitride and Oxynitride Films Produced by Plasma-enhanced Chemical Vapour Deposition 13:00 P. Panjan, B. Zorko, B. Navinsek, A. Zalar, M. Čekada: Standard Reference Materials (SRM) for Compositional Depth Profiles of Transition Metal Oxide and Nitride Thin Films with Different Chemical Composition 13:15 J. Kovač, L. Gregoratti, S. Guenther, M. Marši, M. Kiskinova: A Spectromicroscopy Study of the Ni/Si-oxide/Si Interface 13:30 M. Mozetič, A. Zalar, I. Arčon, R. Prešeren: Characterization of Copper Aluminide Thin Films 13:45 L. Čakare, B. Malic, M. Kosec: Characterization of Thick PZT 53/47 Films Prepared by Sol-gel Processing 14:00 CLOSING OF THE CONFERENCE 240 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana List of Participants - MIDEM '98 CONFERENCE j NAME INSTITUTION - COMPANY place ZIP address 1 aljančič uroš fakulteta za elektrotehniko ljubljana 1000 tržaška 25 2 amon slavko fakulteta za eletrotehniko ljubljana 1000 tržaška 25 3 belavič darko hipot hyb šentjernej 8310 trubarjeva 7 4 bernik slavko inštitut jožef stefan ljubljana 1000 jamova 39 5 bonvicinivalter infn-trieste trieste 1-34012 padriciano 99 6 brecl kristijan fakulteta za elektrotehniko ljubljana 1000 tržaška 25 7 buckthorpe alan dupont bristol england coldharbourlane 8 ciano bosisio universita dl trieste and infn trieste 1-34127 via valerio 2 9 corsi francesco politecnico dl bari bari 1-70125 via orabona 4 10icv1kl bruno univ. of maribor, fg maribor 2000 smetanova 17 11 devenuto daniela universityof lecce-fac. eng. lecce 1-73100 via per monteroni 12 dražič goran inštitut jožef stefan ljubljana 1000 jamova 39 13 ferk branko fakulteta za elektrotehniko ljubljana 1000 tržaška 25 14 furlan jože fakulteta za elektrotehniko ljubljana 1000 tržaška 25 15 gerhard herzog tu graz graz a-8010 stremayrgasse16 16 giraldo vladimir jožef stefan institute ljubljana 1000 jamova 39 17 gorup žarko fakulteta za elektrotehniko lj. ljubljana 1000 tržaška 25 18 gugg-schwaiger han£ alcatel microelectronics münchen d-81925 arabella strasse 4 19 hren john inštitut jožef stefan ljubljana 1000 jamova 39 20 hrovat marko inštitut jožef stefan ljubljana 1000 jamova 39 21 jantscher wolfgang graz university of technology graz a-8010 rechbauerstr. 12 22 klanjšek gunde mart/ national institute of chemistry ljubljana 1000 hajdrihova 19 23 kobe spomenka inštitut jožef stefan ljubljana 1000 jamova 39 24 korošakdean faculty of civ.ing. university of maribo maribor 2000 smetanova 17 25 kosec marija inštitut jožef stefan ljubljana 1000 jamova 39 26 kovač janez itpo ljubljana 1000 teslova 30 27 kramberger gregor inštitut jožef stefan slovenija 1000 jamova 39 28 križaj dejan fakulteta za elektrotehniko lj. ljubljana 1000 tržaška 25 29 lusitani antono dupont cologno monzese i-20093 via volta 16 30 mali tadej inštitut jožef stefan ljubljana 1000 jamova 39 31 malnarič samoel faculty of electronic engineering ljubljana 1000 tršaška 25 32 marinšek marjan fkkt ljubljana 1000 aškerčeva 5 33 marjan maček faculty of electronic engineering ljubljana 1000 tržaška 25 34 maček srečo inštitut jožef stefan ljubljana 1000 jamova 39 35 mcguiness paul inštitut jožef stefan ljubljana 1000 jamova 39 36 mikuž marko jožef stefan institute ljubljana 1000 jamova 39 37 monika jenko imt ljubljana 1000 lepi pot 38 mozetič miran itpo ljubljana 1000 teslova 30 39 olivo alessandro infn trieste 1-34100 area dl ric.-padriciano 99 40 panjan peter inštitut jožef stefan ljubljana 1000 jamova 39 41 pavlin marko hipot-rdg šentjernej 8310 trubarjeva 7 42 pignatel giorgio university of trento mesiano tn i-38050 materials eng dept. 43 pinterič marko university of maribor maribor 2000 smetanova 17 44 piperov stefan humboldt university-berlin AND cern geneva ch-1211 cern/ep 45 raič dušan fakulteta za elektrotehniko lj. ljubljana 1000 tržaška 25 46 re valerio university of pavia pavia 1-27100 via ferrata 1 47 reichmann klaus graz univ. of technology graz a-8010 stremayrgasse 16/111 48 resnik drago fakulteta za elektrotehniko ljubljana 1000 tržaška 25 49 richter r. mpi-munich munich d-81245 mpi-hll, 50 ročak dubravka inštitut jožef stefan ljubljana 1000 jamova 39 51 sitte warner graz university of technology graz a-8010 rechbauerstr. 12 52 smole franc fakulteta za elektrotehniko ljubljana 1000 tržaška 25 53 starašinič slavko faculty of electronic engineering ljubljana 1000 tržaška 25 54 strle drago university of ljubljana ljubljana 1000 tržaška 25 55 topič marko fakulteta za elektrotehniko ljubljana 1000 tržaška 25 56 trontelj janez faculty of electronic engineering ljubljana 1000 tržaška 25 57 trontelj janez fakulteta za elektrotehniko ljubljana 1000 tržaška 25 58 turchetta renato lepši strasbourg f-67037 23 rue du loess 59 vacchi andrea znfn trieste 1-34012 padriceano 99 60ivalič marko fakulteta za pomorstvo in promet portorož 6320 pot pomorščakov 4 61 vercik andres university of buenos aires buenos aires ar-1069 paseo colon 850 62 vite davide university of geneva geneva ch-1211 24 guzi ernest-au 63 vrtačnik danilo fakulteta za elektrotehniko ljubljana 1000 tržaška 25 64 vukadinovič mišo fakulteta za elektrotehniko ljubljana 1000 tržaška 25 65 weilhaimmer p. cern switzerland 1211 gen eva 23 66 zupan klementina fkkt ljubljana 1000 aškerčeva 5 67 šoba sto jan hipot hyb šentjernej 8310 trubarjeva 7 68 šorli iztok mikroiks ljubljana 1000 dunajska 5 69 žontar dejan jožef stefan institute ljubljana 1000 jamova 39 70 žužek kristina nštitut jožef stefan ljubljana 1000 jamova 39 71 čakare laila nštitut jožef stefan ljubljana 1000 jamova 39 72|černetič josipina skra elektroliti viokronog 3230 stari trg 36 241 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE WHO ARE WE? Iskra VARISTOR is a manufacturing company producing a wide range of ZnO varistors on the base of our own knowledge and research. The beginnings of the company date back to the early 1970, when in the research laboratory a great deal of energy and enthusiasm was invested in the development of the ZnO varistor for an over voltage protection. The production started in 1980. From the very beginning it has been our goal to offer varistors at reasonable costs. The chosen way has proven to be the right one. This concept, together with the working ethics of all employees and the confidence of our customers, has allowed the small company to enter all major world markets. Our production complies with the IEC in VDE standards, we are holders of the UL, CSA and ISO 9003 Quality Certificates. We sell our products all over the world; the export is done mainly through a distributors' net. Although we offer a whole range of low, medium and high voltage varistors; we are currently very much focused especially to the high energy field. Our advantages are: - flexibility - products designed according to the customers' specific requests and requirements - short lead times - competitive prices Throught the requests and requirements of customers and our many years of experience, we have developed and applied technologies to our manufacturing processes and our products. The quality assurance policy of Iskra VARISTOR is based on the continious improvement of all elements involved in the sales process. Quality is a tradition for Iskra VARISTOR and has strong roots in the company's operating philosophy. And it shall always stay in the future-for our customers we always want to be one step ahead of the general development. ISKRA VARISTOR WILL TAKE CARE OF PROGRESS FOR YOU! General Data Varistors, also called VDR (Voltage Dependent Resistors), show a high degree of non-linearity between their resistance value and the applied voltage. A metal oxide varistor is a voltage dependent, symmetrical non-linear resistor. The currentthrough the varistor is exponentially dependent of voltage. Dependence is expressed with the equation l = K-Va Where I = currentthrough the varistor V = voltage on the varistor K = a material constant a = non-linear index The varistor curve is steeper the higher the value of the non-linear index. It is intended for safeguarding sensitive electronic components against voltage pulses of various sources. The characteristics enable them to protect against high transient voltage spikes to meet anticipated loads. They are also used for stabilizing higher DC voltages. Should a high-voltage pulse occur, the varistor resistance shifts from a very high value to a level of good conductance instantly. The varistor absorbs the energy of the pulse and decreases voltage to a safe level thereby, protecting the electronic component against damages. Construction of the Disk Varistors with the Radial Terminals Silver electrodes are placed on both sides of the tablet shaped varistor ceramics. Contact wires are soldered to these electrodes. The tablet is then coated with protection layer, necessary for insulation and safeguarding against mechanical and chemical influences. Construction of the High Energy Varistors Electrodes are soldered on both sides of the varistor tablet, connected to external terminals. This tablet is then properly encapsulated. Standard Dimensions of the Disk Varistors with the Radial Terminals The basic design of the metal oxide varistors is a disk with radial wire terminals. Standard range covers five module sizes: K5, K7, K10, K14 and K20. The numerals indicate the diameters of varistor disks in millimeters. Available on request: - non-standard voltages Vrms, Vdc, Vn - non-standard tolerance of nominal voltage Vn - preformed leads - non-standard leads spacing and length 242 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana Application Areas - Telecommunications - Rectifier Electronics - Power Electronics - Measuring and Control Devices - Processing Systems - Computer Equipment - Industrial Electronics - Medical Devices Household Appliances Fun Electronics Automotive Electronics Switching Techniques Electric Automobiles Electric Vehicles Traffic Controllers Test Equipment Instrumentation PRODUCT SURVEY Disk Varistors with Radial Therminals ! o i K 5 K 5 P K 7 K 7 P K I0 KlOP KU S 14 P K 20 K 20 P Varistor Element Diameter 5 mm 5 mm 7 mm 7 mm 10 mm 10 mm 14 mm 14 mm 20 mm 20 mm Varistor Voltage V^ 18 V ... 18 V ... 18 V ... 18V... 18 V... 1S V ... 18V... 390V, 18V... 205V... 5Ó0 V 68V 5Ó0V 68 V 1,200V 68V 1,200V 430V 1,800V 750V Surge Currenr I (8x20,us) 0.4 kA 0.25 kA 1.2 kA 0.5 kA 2.5 kA 1.0 kA 4.5 kA 5,5 kA 6.5 kA 8.0 kA Energy Absorption Wm |2ms) 11 J 3 J 27 J 6.5 J 100J 13 J 190J 75 j 420 J 261 J Average Power Dissipation P 0.10VV 0.02 W 0.25 W 0.05 W 0.40 W 0.10 W 0.60 W 0.60 W 1.00 W 1.00 W High Energy Varistors and High Energy Suppressor Disks IP......iff 1 f! I Itkra I Iskra i / ^x. ; ¿k,, j E 25 E 32 E 40 ! ! M» ¡ i í H'.....Vf • ":J> HE 25 HE 32 D 32 LE S 40 LE D 25 D 32 D 40 S 40 Varistor Voltage vN 205 V... 1,200 V 205 V ... 1,200 V 205 V ... 1,800 V 205 V ... 1,800 V 205 V ... 1,800 V 205 V ... 1,800 V Surge Current (8x20,u.s) ^mcx 15 kA ... 40 kA 15 k A, 25 kA 25 kA 40 kA 15 kA ... 40 kA 40 kA Energy Absorpiion (2 ms) w max 425 J... 1,230 J 425 J... 820 J 1,200 J 1,350 J 425 J... 1,850 J 1,850 J Average Power Dissipation p max 1.0 W... 1.4W 1.0 W, 1.2 W 1.2 W 1.4 W 1.0 W ... 1.4 W 1.4 W 243 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana SPECIAL HIGH ENERGY VARISTOR VERSIONS VARISTORS FOR SPD Iskra VARISTOR High Energy Programme includes a wide range of different dimensions, coatings and voltages. High Energy varistors can be used for the surge protective device - SPD. SPDs are devices which mainly consist of voltage dependent resistors such as varistors and isolated spark gaps. SPDs are used to protect other equipment and systems against excessive overvol-tages class C or D (according to E DIN VDE 0675 Part 6/11.89). 9 SPD for use in Fixed building installations (class C) • SPD for use at end in main sockets (class D) • SPD for use in equipment All SPDs for power supply use which are equiped with a varistor are fitted with an integral disconnector which disconnects the SPD from the mains in the event of a fault. This disconnector responds to the heat generated by the faulty varistor and trips the SPD at the certain temperatures. The disconnector is to disconnect the faulty SPD from the mains quickly enough to prevent the risk of a fire. The correct function of the thermic disconnector can be checked by a simulated overload of the SPD. All ISKRA VARISTOR varistors are checked to withstand this overload test and perform the disconnection. All contacts are made of copper to enable good heat transfer to the disconnection device. Data for some varistor elements: Type Vrms Vdc Inom I max Vc(5kA) Vc Pmax Wmax C V275DSM40 275 V 350 V 15 kA 40 kA 1000 V 710 V (300 A) 1,4 W 565 J 2,9 nF V275DSM32 275 V 350 V 10 kA 25 kA 1000 V 710 V (200 A) 1,2 W 370 J 2,0 nF Vrms Vdc Inom i max Maximum continuous sinusoidal RMS voltage (50-60Hz) which may be applied. Maximum continuous DC voltage which may be applied. Nominal discharge current is the peak value of the discharge current of 8/20/^s waveform for which the varistor is rated. In this case varistor must withstand the nominal discharge current for 20 times without any deterioration of the functioning features. The maximum current with a varistor voltage change of less than ±10% when one impulse of 8/20 /js is applied. 244 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana Vc(5kA) The voltage protection level is the peak value of the voltage on the varistor at 5kA 8/20^s. Vc Maximum clamping voltage is a residual voltage when a current impulse of specified amplitude and waveform (8/20^s) is applied. Pmax The maximum power applied within specified ambient temperature. Wmax The maximum energy absorbed with a varistor voltage change of less than ± 10% when one impulse of 2 ms is applied. C Typical values measured at a test frequency of 1 kHz. SPECIAL HIGH ENERGY VARISTOR VERSIONS WHERETO USE THEM? PARALLEL CONNECTION Applications may require higher peak currents and energy dissipation than the high energy series can supply individually. When this occurs, the logical alternative is to examine the possibility of paralleling vans-tors. Fortunately, all our varistors have a prominent series-resistance at high current levels that make paralleling possible. Even so the best way is to match the varistors in our factory. We have a few applications where we need to match two, three or even five varistors. With special tehnique of matching we can provide good current sharing. If you use five varistors in parallel connection, you can make an SPD that can protect the low voltage network of an installation against direct effect of lightnings. In that case the SPD can withstand a 15kA-10/350 /js lightning impulse (similar to the effect of a direct strike on the building) and because you don't need to use gaps, there is no follow current. Type Vrms Vdc Inom Imax Vc(5kA) ............... Vc Pmax Wmax C V275DSM70 275 V 350 V 25 kA 70 kA 1000 V 710 V (400 A) 2,6 W 1000 J 5,4 nF V275DSM150 275 V 350 V 70 kA 150 kA 1000 V 710 V (1 kA) 1,2 W 2200 J 14,5 nF Vrms Maximum continuous sinusoidal RMS voltage (50-60Hz) which may be applied. Vdc Maximum continuous DC voltage which may be applied. Inom Nominal discharge current is the peak value of the discharge current of 8/20ps waveform for which the varistor is rated. In this case varistor must withstand the nominal discharge current for 20 times without any deterioration of the functioning features. Imax The maximum current with a varistor voltage change of less than ± 10% when one impulse of 8/20 /us is applied. Vc(5kA) The voltage protection level is the peak value of the voltage on the varistor at 5kA 8/20/js. Vc Maximum clamping voltage is a residual voltage when a current impulse of specified amplitude and waveform (8/20^/s) is applied. Pmax The maximum power applied within specified ambient temperature. Wmax The maximum energy absorbed with a varistor voltage change of less than ± 10% when one impulse of 2 ms is applied. C Typical values measured at a test frequency of 1 kHz. 245 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana SINGLE PHASE LINE SURGE PROTECTION a) simple power supply protection using only one varistor between phase conductor and neutral conductor. b) power supply protection using three varistors between all three lines phase-neutral, neutral-ground, phase-ground. c) Iskra VARISTOR has found an effecftive solution for protection against the overvoltage on single phase line. V\le've made a block of three varistors (instead of using three varistors separately) which saves time and space. Additionally it also enables you to make - and this is the major advantage - a thermal fuse with two external springs. V275K20S/3M Type Vrms Vdc Inom Imax Vc(5kA) Vc Pmax Wmax C V275K20S/3M 275 V 350 V 3 kA 10 kA 1200 V 710 V (125 k A) 1 W 155 J 930 nF Vrms Maximum continuous sinusoidal RMS voltage (50-60Hz) which may be applied. Vdc Maximum continuous DC voltage which may be applied. Inom Nominal discharge current is the peak value of the discharge current of 8/20^/s waveform for which the varistor is rated. In this case varistor must withstand the nominal discharge current for 20 times without any deterioration of the functioning features. Imax The maximum current with a varistor voltage change of less than ± 10% when one impulse of 8/20 ps is applied. Vc(5kA) The voltage protection level is the peak value of the voltage on the varistor at 5kA 8/20ps. Vc Maximum clamping voltage is a residual voltage when a current impulse of specified amplitude and waveform (8/20/Us) is applied. Pmax The maximum power applied within specified ambient temperature. Wmax The maximum energy absorbed with a varistor voltage change of less than ± 10% when one impulse of 2 ms is applied. C Typical values measured at a test frequency of 1 kHz. Iskra VARISTOR, d.o.o. Stegne35 1000 Ljubljana Slovenia Tel: + 386/61 151 15 98 Fax: + 386/61 151 21 73 Home page: http//www.iskra-varistor.si E-mail:info@iskra-varistor.si 246 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana MIDEM IN NJEGOVI ČLANI MIDEM SOCIETY AND ITS MEMBERS Umrl je Ervin Pirtovšek Vsem članom društva Midem in ostalim znancem sporočamo, da je nenadoma umrl gospod Ervin Pirtovšek dipl. ing., dolgoletni in prizadevni član društva. Ervin se je rodil leta 1942 v Slovenj Gradcu. Gimnazijo je obiskoval v Ravnah na Koroškem. Na univerzi v Ljubljani je diplomiral iz fizike -meteorologije. Leta 1970 se je zaposlil v razvojnem oddelku za avtoelektriko v Iskrinem Zavodu za avtomatizacijo na Tržaški cesti v Ljubljani. V letu 1976 se je zaposlil v razvojno-tehničnem področju v tedanji Iskri-Elementi v Stegnah v Ljubljani in kmalu postal vodja razvoja. Prizadeval si je za ustanavljanje razvojnih oddelkov v takratnih temeljnih organizacijah proizvajalcev elementov, za njihovo sodelovanje in skupen nastop, kot tudi za povezavo z drugimi razvojnimi oddelki v Iskri ter za sodelovanje z univerzama in razvojno-raziskovalnimi zavodi - inštituti. V imenu Iskre Elementi, kasneje Iskre IEZE in razvojnih oddelkov podjetij, ki so delovala v njenem okviru, je navezoval stike z Raziskovalno skupnostjo in kasneje z Ministrstvom za znanost in tehnologijo. Uspešno je pomagal pri uvajanju projektnega pristopa in prijavi razvojnih projektov. Razvojne dosežke je znal tudi primerno objaviti. V času prestrukturiranja se je morala reorganizirati tudi razvojna dejavnost. Leta 1992 je dotedanjo razvojno enoto registriral kot raziskovalno-razvojni inštitut Iskra RRI IEZE, ki je še nadalje skrbel za čim boljše sodelovanje med razvoji posameznih podjetij in kakovost prijav na razpisih MZT. Neusmiljena smrt je nepričakovano prekinila njegovo delo. Vsi, ki smo z Ervinom sodelovali, smo ga imeli radi. Pogrešali ga bomo In se ga bomo hvaležno spominjali. Igor Pompe Aleševčeva 4, Ljubljana 247 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana VESTI - NEWS News from AMS Sensational participation of Austria in Nasa mission: chips of Austria Mikro Systeme International AG are flying to Mars The Styrian semiconductor manufacturer Austria Mikro Systeme Int. AG Is represented by two chips as part of the Nasa mission "New Millennium Deep-Space 2" to Mars which started yesterday night from Cap Ca-navaral. The mission is supposed to research the density of water and ice on Mars in order to provide information about possible life on the planet. The space capsule Mars Polar Lander will reach the red planet in December 1999 after a flight of approximately one year. Two measuring systems the so called "micro-probes" are the size of a football and equipped with detectors. They will be cast off the capsule shortly before the impact. Both microprobes are identical and consist of two linked parts. The front part, the so called Fore-Body, will penetrate the surface of the planet whereas the back part, the so called Aft-Body, will remain on the surface after the impact. This way the front part will sample information from the rock as to the nature and the structure of the surface of Mars and will pass it on to the back part, which in turn transmits the signals by wireless. The Austria Mikro Systeme Int. AG chips are constructed as application specific integrated circuits, the so called ASICs and they each will take over an important function in the front part of the measuring system during this "extra-terrestrial project". Both ASICs are put into application in the so called Power Microelectronics Unit (PMEU), which is responsible for the entire power supply of the microcomputers as well as for the measuring systems in the Fore-Body. One of the chips is in charge of the control system of the power supply and will optimize the power consumption. The chip was designed to be suitable for power supplies up to 50 volts in order to avoid requiring additional power-consuming switching units. Austria Mikro Systeme's successful "High Voltage-process" made this possible. The other chip's function is to steer the linear control and the command functions in the PMEU, as well as to coordinate the switching on and off of the measuring instruments. The optimization of energy is of top priority to ensure the success of the Mars mission since the total supply is limited to 50 hours. This fact makes the important functions of both chips very clear. It was an additional challenge for this internationally active Austrian company to design the chips so that they could withstand an impact on the surface of Mars at a speed of 700 km an hour, and tolerate changes in temperature between +50 °C and-120 °C. For further inquiry please contact: Austria Mikro Systeme International AG Mr, Michael Buchbauer Tel.: +43 3136 500 277 Fax: +43 3136 500 501 PS: for more information about the NASA-mission "New Millennium Deep-Space 2" please contact the NASA- homepage http://nmp.jpl.nasa.gov News from Siemens Siemens Passive Components and Electron Tubes Group on track for expansion, plans to go public In the fiscal year ending September 30,1998, the Siemens Passive Components and Electron Tubes Group (PR) continued its policy of expansion with a significant increase in sales and profits. At the Group's annual press conference, held at the Electrónica 98 trade fair in Munich, its president, Klaus Ziegler, stated that PR's forthcoming conversion into an independent legal entity and subsequent listing on the stock market would lay the foundation for sustained growth and strengthen the new company's role as a global player in passive components. The steps announced would result in greater autonomy and flexibility. According to preliminary figures for fiscal 1997/98, the Passive Components and Electron Tubes Group, which belongs to the components business segment of Siemens AG (Berlin and Munich), increased sales by some 14% from DM 2.3 to 2.6 billion. New orders rose by 11 % from DM 2.5 to 2.7 billion, while income before taxes climbed 34% from DM 216 to 290 million. With some 9600 employees, Siemens Passive Components and Electron Tubes is one of the world's leading manufacturers of key electronic products, such as capacitors, ceramic components, special magnetic materials, and other high-tech components. International business accounted for almost two thirds of PR's total sales in the past fiscal year, Ziegler stated. Over the past 10 years, PR has grown significantly faster than the market. One of the main reasons for this growth 248 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana is the success of the joint venture Siemens Matsushita Components, which accounts for about two-thirds of PR's total sales. Siemens and Matsushita have equal shares in the joint venture with a total of 5400 employees. In the past fiscal year, Siemens Matsushita Components managed to boost sales by 23% to DM 1.69 billion, while income before taxes even climbed 41 % to DM 195 million. Ziegler also commented in detail on the planned transformation of the Passive Components and Electron Tubes Group into an Independent legal entity and subsequent listing on the stock market. Together with the Semiconductor Group and the Electromechanical Components Group, PR belongs to the components business segment, from which Siemens is to withdraw as part of a ten-point plan to refocus its business portfolio. In a first step, PR's Divisions are to be integrated into Siemens Matsushita Components, and the new company thus resulting is then be listed on the stock market. The ten-year joint venture contract between Siemens and Matsushita expires on September 30,1999. Against this background, PR's management has proposed going public to Siemens' Corporate Executive Committee. Ziegler stated that Siemens Matsushita Components would thus form the nucleus of a new enterprise with much more clout. Siemens and Matsushita would remain major shareholders in the new company. In-depth negotiations are currently being held with Matsushita. Various trends shaped the course of business in the Passive Components and Electron Tubes Group over the past fiscal year. Development of new materials and miniaturization continued unabated. This called for a major commitment to research and development by all players in the global components market, Ziegler stressed. In the past fiscal year alone, PR stepped up R&D expenditure by 15% to DM 118 million. A further increase of 24% to DM 146 million was planned for the current fiscal year. At the same time, customers were more frequently calling for a reduction in the number of suppliers, combined with perfect logistics. For this reason, PR opened new facilities in Singapore and Evora, Portugal, in fiscal 1997/98. These two major projects accounted for a 60% increase In investments to DM 395 million. During fiscal 1997/98, the number of employees at PR rose from 8700 to about 9600, over half of whom work in Germany - In Berlin, Hanau and Heidenheim as well as at the Group's Munich plants and headquarters. PR consists of several organizational units, some of which are independent companies. As well as Siemens Matsushita Components, they include the Electron Tubes Division headquartered in Berlin; Vacuumschmelze, a manufacturer of special magnetic materials based in Hanau, Germany; Icotron in Gravatai, Brazil, which mainly serves the NAFTA market; and Crystal Technology in Palo Alto (CA) USA. For more Information on Siemens Passive Components and Electron Tubes, visit our website: http://www. siemens. de/pr Reference No PR UK 1198.800e Press Office Passive Components and Electron Tubes Thomas Kuther P.O.Box 801709, D-81617 Munich Tel.:++49 89 636-28083, Fax - 22471 E-mail: thomas.kuther@.uk.siemens.de Siemens Semiconductors opens a new research and development center in Graz Siemens Semiconductors today opened a new research and development facility in Graz, Austria. The design center's tasks will be the development of new products and the creation of intellectual property (IP) for biometrics, security, chipcard and RF integrated circuits. The new facility will employ around 100 design engineers. The design center will meet the growing need for new developments arising from Siemens Semiconductors' logic initiative. All projects will be handled in full on site, in other words every phase in the development process - from product definition through to the transfer to manufacturing - will take place In Graz. In advance of the facility's inauguration, Dr. Ulrich Schumacher, CEO and President of the Siemens Semiconductors and member of the Managing Board of Siemens AG, emphasized that outstanding system expertise in product development and IP, as well as manufacturing and marketing know-how, were essential success factors for a major semiconductor company. With the new R&D center, the Semiconductor Group was further extending its reach in design and IP, as well as driving Semiconductors' logic initiative by consistently building up greater systems expertise. This new product development center will play an Important role in Siemens Semiconductor's worldwide design network, through which the Group's individual center of competence constantly exchange the results of research in each of their respective fields of specialization. The Semiconductor Group also has design centers in Singapore, in Bangalore (India), in Cupertino, San Jose and San Diego (California, U.S.A.), in Tel Aviv (Israel), in Sophia Antlpolls (France), and in Düsseldorf (Germany). Siemens Semiconductors also has another design center in Villach, Austria, which employs 220 developers and specializes in smart power, telecommunications, sensor and consumer electronics products. In Villach the Group also has a manufacturing facility with 1,600 workers, where it fabricates power semiconductors. 249 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana Siemens' Semiconductor Group is a leading worldwide provider of integrated circuits, memory products, RF components and discrete and power semiconductors, sensors and fber optic components. The comprehensive product line of Siemens Semiconductors serves a wide range of customers active in telecommunications, automotive and consumer electronics, data processing and automation. Siemens is the market leader for chip card ICs. In fiscal 1997/98, Siemens Semiconductors achieved sales of $3.8 billion (DM 6.7 billion) and employed 25,000 people worldwide. The group plans to go public. Further information: http://www.siemens.com/Semlconductor/index. htm Reference number: HLXX 1198.016 e Press Office Semiconductors Katja Selliendorf Postfach 8017 09, D-81617 Munich Tel.: ++49 89 636-28480, Fax: -28482 E-mail: katja.schlendorf@uk.siemens.de News from Semiconductor International Siemens dumps semis Pressure from profit-hungry investors pushed Siemens' chief executive Heinrich von Pierer into radical DM4bn restructuring that will see semiconductors outside the conglomerate. At Munich's Electrónica show Siemens presented first silicon of its 1 Gbit SDRAM. The chip is manufactured using a 0.18 pm CMOS process, width an area of 390 mm2. Siemens has wafer fabs and assembly plants in Germany, France, Austria, Singapore, Malaysia and Portugal. The components division, of which Semiconductors currently forms a part, employs 47,000 people worldwide. Including other businesses to be shed from the conglomerate, some 60,000 workers will be affected (25,000 in Germany). Sales for components were DM11 bn with a loss of DM1,2bn in the last financial year to September. The main factor has been the DRAM price collapse. Total sales for the conglomerate were DM118bn. The businesses to be put out the door account for a seventh of this. Von Pierer said there were no plans to cut the 60,000 jobs or to make large-scale reductions in worldwide workforce (just over 400,000 people). The company plans to concentrate on four divisions: information and communications, industry rail systems and power generation. Plans for a big acquisition in telecoms are to be announced in the near future. Von Pierer. hinted that this would be in the US: "The real music is not playing in Europe. It is in the US, in particular Silicon Valley." RF BiCMOS Philips Semiconductors' has a new silicon-only BiCMOS processQUBiC3, which integrates high-frequency RF bipolar with high-speed CMOS logic. Re- At the Electrónica show in Munich, Semiconductor group president Ulrich Schumacher said that the new IC company - without the Siemens name - will float 20-25% of Siemens' holdings by Initial Public Offering by the end of 1999 or early 2000. It will also set up a separate DRAM business and look for partnerships with other manufacturers. The aim is to boost Siemens' current 10% standing, in the face of consolidation by competing DRAM blocs - LG/Hyundai, Micron/TI, Samsung - with roughly 20% market share each. The joint ventures with Motorola (White Oak VA and the 300 mm pilot, SC300, in Dresden) will continue, as will Corbeil-Essones, France (IBM). The forming of the semiconductor group as a separate legal enitity could be as early as Q1 1999. QUBiC3 has a fourth metal layer allowing for Inductors on-chip 250 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana searchers in Albuquerque (where it was developed) have shown that it can achieve an fmax=70 GHz, about double the norm for silicon and comparable to silicon germanium and gallium arsenide but at two-thirds the cost. This opens up the possibility of wristwatch-sized video phones. QuBiC3 has 3 V 0.5 pm CMOS (0.42/jm effective gate length) and fr=32 GHz. The bipolar npn transistors use an improved double-polysilicon technology with iow-k (3.0) HSQ (hydrogen silsesquioxane) dielectric for 45% less interconnect capacitance. A thick, low-resistance fourth metal interconnect layer has been added to allow on-chip integration of inductors. The number of masking stages is 26. The next research aim is to reduce noise from 0.6 dB for integration of power amplifiers. Scheduled for release in the coming months are 25 new products - RF front-end ICs for CDMA and GSM. Initially fmax will be 60 GHz. QUBiC4 (to be released for design 2000, production 2001-2) will use QUBiC3's transistor structures but with 0.25 pm CMOS and deep trench isolation to reduce cross-talk for fmax=90 GHz. QUBiC5 (probably 0.18 /jm) could use either bipolar SiGe or pure RF CMOS in silicon. France-Bulgaria JV Silway (recently formed by EGP Holdings, France) has announced an ASSP and ASIC venture, with design at MISIL (Paris and Bordeaux) and design and manufacturing at Silway Semiconductor in Sofia, Bulgaria. The focus will be mixed-signal, high-voltage and high gate density technologies. The Sofia fab has 2 pm (double-poly) and highvoltage bipolar technology (250 V, 400 V in 1999). It Is migrating to 1.2 ¿urn double-poly double-metal (0.25 ^m available from partners). News from IMEC New CoSi2 silicidation process demonstrated for 0.13 /jm /4 new Co-silicidation process with a Ti-cap layer has been demonstrated and characterized for 0.13 pm CMOS technology and is ready for transfer to industry. Scaling CMOS processes below 0.25 pm features imposes problems on the silicidation module as the formation of the low-resistance C49 TiSi2 phase can become troublesome when scaling down to narrow silicide lines. Cross-sectional TEM of a CoSiz layer formed from 15nm Co / 8 nmTi (cap) along a field oxide. CoSi2 can be a viable alternative to TiSi2 for CMOS processes of 0.25 pm and below. Similar to TiSi2, Co-silicidation is a two step process: reaction of Co with the. exposed Si by rapid thermal processing (RTP), in between wet removal of unreacted Co and a second RTP cycle, to form CoSi2. It has been shown that the nuclea-tion of CoSi2 from CoSi is not delayed by narrow feature sizes, in contrast to TiSi2. Although Co is less reactive with the ambient and its contaminants than Ti, ambient contamination indeed plays a significant role in the reproducibility of the silicidation process, especially for narrow dimensions. To overcome this problem, IMEC has investigated the use of a Ti cap layer on top of Co to increase the reproducibility of the silicidation process. Results show that the Ti cap is very efficient in reducing the ambient contamination to a strict minimum by reacting with any residual moisture in the chamber. In addition, no. edge thinning could be observed. The Co/Ti (cap) silicidation process has been demonstrated and characterized for 0.13 pm CMOS technology. The patented silicidation process is now ready for transfer to industry. Two major semiconductor companies have already implemented IMEC's Co/Ti (cap) silicidation module in their industrial processes. Development of 0.35 jum BiCMOS with 50 GHz Fmax bipolar transistors The development of process modules for 0.35 pm BiCMOS technology has been finalized at IMEC and integration evaluated. The combination of high-density low-power CMOS and high-performance npn transistors makes BiCMOS technology very attractive for high level integration for mixed analog/digital and RF applications. The expanding interest in RF applications together with demands for increasing bandwidth and available number of channels, drive new applications to higher frequencies, while the typical analog specifications such as low noise and high linearity remain very strict. Epitaxial base technology offers an interesting alternative to low-energy ion implant, since neitherthe channeling tail nor the implant damage are present with this technique. This way high cutoff frequencies can be combined with high Early voltages and low base resistance, thereby fulfilling both the high speed and good analog specifications. 251 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana Cross-sectional electron microscope picture of epitaxial base bipolar transistor. IMEC has chosen a selective Si epitaxial growth process compatible with a double poly inside spacer architecture for the emitter/base formation as a precursor to a SiGe technology The resulting structure shows very low device parasitics, very good ideality of the base current, current gain > 80 and high breakdown voltages. The combination of 24 GHz with 30 Volt Early voltage is very attractive for RF designs. The reduction of the device parasitics resulted in a maximum oscillation frequency Fmax of 50 GHz. LTMS: on board time distribution support The LTMS ASIC was developed by IMEC for the European Space Agency as key element of a decentralized distribution scheme for correct time information in spacecrafts. With the advent of packet-switched networks on board of spacecraft, non-deterministic delays occur in the communication between the central terminal unit of the spacecraft and local experiments. Distribution of correct time information over the spacecraft therefor became a problem. The radiation-hard LTMS (Local Time Management System) enables users to overcome this problem. LTMS is the key element of a decentralized time distribution scheme, providing time coherence throughout the spacecraft without requiring processing power from the applications using it. Local copies of the centralized Elapsed Time reference of a spacecraft are maintained by LTMS devices located close to the users, according to ESA and CCSDS standards. The central reference is to be managed by a Central Time Management System (CTMS). The coherence between local and central reference is maintained by means of time, synchronization messages distributed by the CTMS. The LTMS performs regular synchronization with respect to the central reference using such messages and provides its users with several time facilities related to the local Elapsed Time reference: a time-stamp, an alarm clock, a pulse generator, a wave-form generator and a stopwatch. The LTMS synchronizes with the CTMS with an accuracy of 1 /us, and offers 250-ns resolution to the experiments. An extension interface allows building customized time facilities. The LTMS automatically maintains a high resolution and high precision local time reference, without discontinuities. LTMS can be found in the Hi-Reliability space component catalog of MITEL Semiconductor and is available to system houses to use in their on-board applications. New ferroelectric technology developments for embedded FERAM The first technology test chip integrating PZT ferroelectric capacitors in IMEC's 0.5 pm CMOS process was successfully fabricated. Applying Ru02 electrode technology drastically improves the endurance performance with 5 orders of magnitude compared to that of Pt electrodes. The microdisplay module was developed by IMEC/IN-TEC, associated laboratory of IMEC at the University of Gent, Belgium, one of the pioneers in this technology in Europe. Recently research on miniature displays (microdisplays) made on silicon has been launched in the. US. This technology does not require major Invest- 60 ~ 40 -^ 20 - •40 --60 ■400 -200 0 200 400 E (kVicrn) Hysteresis characteristics of fully integrated PZT ferroelectric capacitor (area: 10 /um2), measured in an array of 100 capacitors, using a 1 kHz triangular signal with 1-5 V amplitude. 252 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana ao 60 « 20 o • 20 -40 -60 -80 Qs+ - Qr>i» Qs-Qrvi- f ,£+02 I.E+04 I ,£+06 1.E+Q8 Fatigue cycles I.E+IO Qit ♦ Qíis- t,£+12 Endurance characteristics of PIT ferroelectric capacitor with RuO2 electrodes. After 1011 cycles, less than 10% degradation of the memory signal (= difference between switched charge Qs and non-switched charge Qns) is observed. Measured on a 1,000 pm2 area capacitor, with 5 V, 1 MHz pulses. ment - relying on existing silicon foundries - and therefore becomes extremely attractive. At this moment first products are announced envisaging extremely high resolutions. The microdisplay module shown consists of a 160x120 pixel paper-white reflective polymer dispersed liquid crystal on an analog DRAM chip processed in a 2/jHBI-MOS technology and packaged on 5x5 cm ceramic substrate. By means of thick-film technology a fast serial digital data link (LVDS), a digital-to-analog converter and a video amplifier are integrated on the ceramic substrate. A simple flat cable connects the module to the PC controller board. In this way parts of the PC screen are captured and displayed on the microdisplay module. Due to the reflective nature of the microdisplay extremely low power consumption can be realized. The module enables gray shade images and video. First samples will soon become available for evaluation. Dow Corning announces IMEC affiliation to support low-k ILD development In a move expected to boost development of new materials and facilitate processing innovations for semiconductor fabrication, Dow Corning has joined the IMEC Industrial Affiliation Program (IIAP). The HAP encourages collaboration between industrial researchers and a special IMEC team focused on low-k dielectric technology. As part of the collaboration, IMEC is sharing part of relevant technology in low-k dielectrics with Dow Corning. IMEC has also agreed to share data from research that has involved FOx (flowable oxide materials) and has granted Dow Corning early access to the non-proprietary results of further testing. "Because IMEC uses FOx in its process of record for 0.35 pm and 0.25 pm applications, IMEC has a significant amount of data on the integration of FOx Flowable Oxide as an interlayer dielectric," according to Dow Coming's application development group leader Phil Dembowski. "IMEC is also using this technology for development of devices with 0.18 yum architecture." Dow Corning plans to use the newly available resources to explore a number of key issues; dual-damascene technology lower dielectric constant materials, un-landed via integration and direct-on-metal integration. In a related move, Dow Corning announced that application and development engineer Doug Gray has been reassigned from the Fremont office (California, USA) to work on the IMEC program full time. "This is the kind of cooperative effort required to accelerate implementation of low-k materials into leading edge 0.18 pm applications and beyond," Dembowski concluded. Gilbert Declerck COO of IMEC The board of directors and Prof. Roger Van Overstraeten, president of IMEC, have appointed Prof. Gilbert Declerck to Chief Operating Officer of IMEC. The new COO was up to now senior-vice president and director of H99EhPI Advanced Semiconductor Processing division of IMEC. Prof. Van Overstraeten will continue to act as president of IMEC and will focus its activities on the overall IMEC strategy and external initiatives such as the creation of spin-off companies. 253 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana KOLEDAR PRIREDITEV 1999 FEBRUARY 1999 01.02.-02.02.99 EUROPEAN MULTICHIP MODULE CONFERENCE London, UK Info : + 44 171 287 4898 01.02.-05.02.99 DISPLAY WORKS '99 San Jose, CA, USA Info.: + 1 650 940 6905 16.2., 19.2., 24.2., 26. 2. 1999 ICE's 34th ANNUAL REVIEW AND FORECAST OF THE IC INDUSTRY Paris, France; Munich, Germany; Rome, Italy; Copehagen, Denmark Info.: +45 43 71 20 44 09.03. - 12.03.99 DATE '99 - DESIGN, AUTOMATION AND TEST IN EUROPE Munich, Germany Info.: + 44 131 225 2892 15.3.99-19.3.99 AMERICAN VACUUM SOCIETY'S INTERNATIONAL CONFERENCE ON ADVANCED MATERIALS AND PROCESSES FOR MICROELECTRONICS San Jose, CA, USA Info.: + 212/ 248 0200 16.03. - 18.03.99 MICROELECTRONICS TEST STRUCTURES Göteborg, Sweden Info.: + 45 38 880 600 21.02. -23,02.99 SEMI EUROPEAN INDUSTRY STRATEGY SYMPOSIUM Rome, Italy Info.: + 32 2 289 6492 23.02. - 25.02.99 SMART CARD '99 London,UK Info.: + 44 1895 454 438 MARCH 1998 08.03. - 10.03.99 MAM '99 - MATERIALS FOR ADVANCED METALLIZATION Oostende , Belgium Info.: + 32 16 29 00 10 MAY 1999 02.05. - 07.05.99 195th MEETING OF THE ELECTROCHEMICAL SOCIETY (Process Control, Diagnostics and Modeling in Semiconductor Manufacturing III) Seattle, WA, USA Info.: +1 609 737 1902 JUNE 1999 28.06. - 02.07.99 9th INTERNATIONAL SYMPOSIUM ON NONDESTRUCTIVE CHARACTERIZATION OF MATERIALS Sydney, Australia Info.: + 1 410 516 7126 254 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana Ljubljanski sejem d.d. L|ubl|cna fair Dunajska 10. p.p. 3558 Spoštovani! tel > Jboo ;. I "3 5 J J i tax +386/6 t/¡73 52 32 + 386/6)/I 73 5 2 3 I +386/6 1/(3 1 01 Zahvaljujemo se Vam za sodelovanje na letošnjem že 45. Mednarodnem sejmu SODOBNA ELEKTRONIKA'98, v Ljubljani na Gospodarskem razstavišču od 5. do 9. oktobra 1998. Ocenjujemo da je prireditev bila uspešna tako za organizatorja, kot tudi za večino razstavljalcev in obiskovalcev. Dovolite da Vam predstavimo osnovne podatke iz statistike sejma in rezultate ankete, ki smo jo opravili med razstavljalci in obiskovalci. Na sejmu se je predstavilo skupaj 582 podjetij in ustanov iz 26 držav. Neposredno je bilo prijavljenih 264 razstavljalcev iz 10 držav in sicer 214 iz Slovenije, med tujimi pa največ (22) iz Avstrije, 9 iz Hrvaške, 7 iz Nemčije, 4 iz Češke republike, 3 iz Švice, 2 iz Italije, po eden pa iz Belgije, Francije in Velike Britanije. Med 318 zastopanimi podjetij jih je bilo največ iz Nemčije (104), ZDA (59), Japonske in Velike Britanije (21), Avstrije (20), Italije (19) in Švice (18). Zastopana so bila še podjetja iz Avstralije, Kanade, Danske, Finske, Irske, Izraela, Koreje, Malezije, Nizozemske, Norveške, Nove Zelandije, Singapura, Španije, Švedske in Tajske. V razstavnem programu je bilo največ komponent (vključno z elektroinštalacijami 26%), avtomatizacije z merilno elektroniko 24%, telekomunikacij 18%, inženiring, servisne dejavnosti in R & R 11%, opreme za proizvodnjo 7%, radiodifuzije in kabelsko satelitske tehnike 5%, pisarniške avtomatizacije 4%, audio-video elektronike pa 2%. Zasedeno je bilo 8000 m2 neto razstavnih površin. Sejem je videlo po oceni organizatorja okoli 31.000 ljudi, medtem ko je število prodanih vstopnic po kriterijih mednarodne revizije FKM znašalo 27.034. Bistveno večji je delež poslovnih kuponov (za 20% več kot lani), kar pomeni da je že skoraj vsak tretji obiskovalec registriran in osebno vabljen. To potrjuje vse večjo poslovno orientiranost sejma. Anketa je tudi pokazala, da je na sejem službeno prišlo 41% obiskovalcev, 23% vseh obiskovalcev pa namerava v roku 14 dni po sejmu tudi naročati prikazane izdelke. Kar 76% vseh namerava sejem elektronike v Ljubljani v naslednjem letu ponovno obiskati, le 3% obiskovalcev pa je odgovorilo negativno. Večina (67%) razstavljalcev je v anketi napovedala ponovno udeležbo na sejmu Sodobna elektronika v naslednjem letu. Glede na to In ker želimo organizacijo še izboljšati smo se odločili, da Vas spoštovani razstavljalci, že s tem pismom povabimo k evidenčni prijavi. V kolikor torej nameravate ponovno sodelovati na sejmu SODOBNA ELEKTRONIKA'99, kije na sporedu Vas prosimo, da nam do konca novembra letos sporočite Vašo odločitev, želeno razstavno površino In morebitne druge pripombe in predloge. V želji po še nadaljnjem uspešnem sodelovanju Vas lepo pozdravljamo, od 4. do 8. oktobra 1999 Projektni vodja Gorazd Majcen, dipl.oec. Ljubljana, 30.10.1998 255 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana VSEBINA LETNIKA 1998 VOLUME 1998 CONTENT UDK621,3:(53 + 54 + 621 +66), ISSN03529045 Informacije MIDEM 28(1998)1, Ljubljana Obvestilo o konferenci MIDEM '98 2 Call for Papers - Conference MIDEM '98 ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS M. Šalamon, B. Jarc, T. Dogša: Uporabnost cenovno ugodnih CAE/CAD orodij za načrtovanje integriranih vezij 3 M. Šalamon, B. Jarc, T. Dogša: Applicability of Low Cost CAE/CAD Tool for Designing Integrated Circuits S. Solar: Temperaturno in napetostno stabilni tokovni izvori v podmikrometerskih tehnologijah 9 S. Solar: Voltage and Temperature Independent Current Sources in Submicron Technologies J. Hauptmann, W. Pribyl, J. Sevenhans, Z. Chang: A/D in D/A pretvorniki - osnovni gradniki telekomunikacijskih vezij 18 J. Hauptmann, W. Pribyl, J. Sevenhans, Z. Chang: A/D and D/A Converters - Basic Building Blocks for Telecom Applications R. Čop: Razvoj strojne in programske opreme 22 R. Čop: The Development of Hardware and Software Components J. Pirš, R. Petkovšek, S. Pirš, S. Kralj, S. Žumer: Vpliv površinskih pogojev na strukturo zig-zag defektov v feroelektričnih LCD prikazainikih 25 J. Pirš, R. Petkovšek, S. Pirš, S. Kralj, S. Žumer: Influence of Surface Topography on Zig-zag Defects in Ferroelectric Liquid Crystal Displays D. Lisjak, M. Drofenik: PTCR efekt v kompozitni keramiki 33 D. Lisjak, M. Drofenik: PTCR Effect in Composite Ceramics M. Pavlin, D. Belavič, S. Šoba, S. Amon, U. Aijančič: Senzorji tlaka s tokovnim napajanjem 38 M. Pavlin, D. Belavič, S. Šoba, S. Amon, U. Aijančič: Pressure Sensors with Constant Current Excitation D. Belavič: Delovanje mešane raziskovalno razvojne skupine na področju hibridne debeloplastne mikroelektronike 43 D. Belavič: Activities of Joint Research and Development Group on Hybrid Microelectronics MIDEM IN NJEGOVI ČLANI, NOVICE IZ DRUGIH SREDIN MIDEM SOCIETY AND ITS MEMBERS, NEWS FROM OTHER INSTITUTIONS M. Budnar: Tandemski pospeševalnik na IJS, Informacija ob postavitvi TANDETRONa 48 M. Budnar: Information on TANDETRON: New Accelerator at IJS PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE IJS Odsek za keramiko 54 IJS Department of Ceramics POROČILA REPORTS D. Vrtačnik: SEMICON Europa'98 55 D. Vrtačnik: SEMICON Europa'98 PRIKAZ MAGISTRSKIH DEL IN DOKTORATOV V LETU 1997 56 M.S. and PhD ABSTRACTS, YEAR 1997 VESTI 64 NEWS KOLEDAR PRIREDITEV 67 CALENDAR OF EVENTS MIDEM prijavnica 69 MIDEM Registration Form Slika na naslovnici: Shematski prikaz termično vzpodbujenega naraščanja viskoznosti keramične suspenzije, ki ji je dodan prah AIN in posnetek delcev AIN po hidrolizi (SEM). Postopek oblikovanja keramičnih izdelkov iz vodne suspenzije s pomočjo hidrolize AIN (HAS) je bil razvit na Institutu J. Stefan (SI patent 1995, Evropska patentna prijava 1998). Front page: Thermally activated viscosity increase for AIN containing ceramic suspensions (schematic) and AIN particles after hydrolysis (SEM). The process for forming ceramic parts from aqueous suspensions by hydrolysis assisted solidification (HAS) was invented at Jozef Stefan Institute (SI patent 1995, Europ. Patent application 1998). 256 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana UDK621,3:(53 + 54+521 +66), ISSN0352-9045 Informacije MIDEM 28(1998)2, Ljubljana ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS M. Maček: Mikro bolometer, I. del: Teoretične osnove 77 M. Maček: Micro Bolometer, Part I: Theoretical Backgrounds M. Maček: Mikro bolometer, II. del: Meritve karakteristik in primerjava z izračuni 81 M. Maček: Micro Bolometer, Part II: Measurements of Characteristics and Comparison with Calculations A. Lechner: Mikrostrukturirani senzorji in aktuatorji - Pregled 90 A. Lechner: Micro-structured Sensors and Actuators: an Overview J. Trontelj: Elektronika za polje integriranih Hallovih senzorjev 95 J. Trontelj: Integrated Hall Sensor Array Electronics M. Kovač, S. Pejovnik: Identifikacija polimernih elektrolitov PE0-M(S03CI)x (M = Li, LiAl, Ca) 102 M. Kovač, S. Pejovnik: Identification of PE0-M(S03CI)x (M = Li, LiAl, Ca) Polymer Electrolytes APLIKACIJSKI PRISPEVKI APPLICATION ARTICLES I. Šorli, R. Mauri: Odprava EMI motenj, I. del. Definicije in osnove 110 I. Šorli, R. Mauri: EMI Suppression, Part I. Definitions and Basics PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE Siemensov mikroelektronski načrtovalski center v Beljaku in Grazu 117 Siemens Microelectronics Design Center, Villach and G raz POROČILA REPORTS D. Križaj: 8. Evropska konferenca o polprevodniških detektorjih 120 D, Križaj: 8th European Symposium in Semiconductor Detectors M. Hrovat: 4. Evropska konferenca EC-MCM'98 120 M. Hrovat: 4th European Conference on Multi Chip Modules EC-MCM'98 M. Hrovat, D. Belavič: 21. Mednarodni spomladni seminar o elektronski tehnoloqiji 123 M. Hrovat, D. Belavič: 21st International Spring Seminar on Electronic Technology ISSE'98 VESTI 126 NEWS KOLEDAR PRIREDITEV 137 CALENDAR OF EVENTS Navodila avtorjem 138 Information for Contributors MIDEM prijavnica 139 MIDEM Registration Form Slika na naslovnici: Siemensov mikroelektronski načrtovalski center v Beljaku in Grazu - pravi naslov za mikroelektronsko sistemsko integracijo Front page: Siemens Microelectronics Design Center Villach and Graz - A Competence Center for Microelectronic System Integration UDK621,3:(53 + 54 + 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)3,Ljubljana ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS P.J. Mach, P.M. Svasta: Študij povezave med diferencialno nelinearnostjo, nelinearnostjo in šumom debeloplastnih uporov 149 P.J. Mach, P.M. Svasta: Study of Correlation Among Differential Nonlinearity, Nonlinearity and Noise of Thick Film Resistors D. Raič: Merjenje porabe moči v pomnilnih strukturah CMOS 154 D. Raič: Measuring the Weighted Power of CMOS Latching Circuits V. Jenuš, B. Horvat: Audio vmesnik za računalnik 162 V. Jenuš, B. Horvat: Audio Interface for Workstation W. Pribyl, T. Scheiter, G. Hribernig: CMOS integrirano vezje za senzor prstnih odtisov z ločljivostjo 500 dpi 167 W. Pribyl, T. Scheiter, G. Hribernig: A 500 dpi Fingerprint Sensor IC in CMOS Technology M. Mozetič, B. Praček: Interakcija vodikove plazme s korodirano površino srebra 171 M. Mozetič, B. Praček: Interaction of Hydrogen Plasma With Corroded Silver Surface M. Mozetič: Reakcije na površini katalitične sonde med plazemsko obdelavo polieter sulfona 175 M. Mozetič: Reactions on Catalytic Probe Surface During Oxygen Plasma Treatment of Polyether Sulphone 257 UDK621,3:(53-t-54+621 +66),ISSN0352-9045 Informacije MIDEM 28(1998)4, Ljubljana APLIKACIJSKI PRISPEVKI APPLICATION ARTICLES V. Murko: Novitete iz razvoja podjetja Iskra Žarnice Elvelux 180 V. Murko: New Products from the company Iskra Žarnice Elvelux PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE Iskra Avtoelektrika d.d. 184 Iskra Avtoelektrika d.d. POROČILA REPORTS D. Belavič, R. Ročak: XXIIIMAPS - konferenca Poljske sekcije 185 D. Belavič, R. Ročak: XXII IMAPS - Poland Chapter Conference and Exhibition D. Belavič: ISHMŽ98 - konferenca Nemške sekcije 186 D. Belavič: Deutsche ISHM Konferenze 1998 VESTI 187 NEWS KOLEDAR PRIREDITEV 195 CALENDAR OF EVENTS MIDEM prijavnica 197 MIDEM Registration Form Slika na naslovnici: Brushless DC motor z ločenim (levo) in prigrajenim (desno) elektronskim krmiljem, nov izdelek Iskre Avtoelektrike d.d. Front page: Brushless DC motor with separate (left) and built-in (right) electronic control, new product of Iskra Avtoelektrika d.d. UDK621.3:(53 + 54 + 621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)4. Ljubljana ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS MIDEMŽ98 KONFERENCA - POVABLJENI REFERATI MIDEMŽ98 CONFERENCE - INVITED PAPERS K. Reichmann, N. Katsarakis, A. Reichmann: Elektronsko prevodni perovskitni materiali 205 K. Reichmann, N. Katsarakis, A. Reichmann: Electronically Conductive Perovskite Type Materials S. Sokolič, S. Amon: Modeli za transport nosilcev v bazi npn SiGe heterospojnega transistorja 211 S. Sokolič, S. Amon: Models for Carrier Transport in the Base of npn SiGe HBTs H. Gugg-Schwaiger: Mešana CMOS tehnologija firme Alcatel Microelectronics z minimalno razsežnostjo 0.5 pm 218 H. Gugg-Schwaiger: Alcatel Microelectronics 0.5/um Mixed CMOS Technology M. Topič, F. Smole: Amorfnosilicijevi tankoplastni detektorji barv 223 M. Topič, F. Smole: Thin Film Color Detectors Based on Amorphous Silicon M.H. LaBranche, C.J. McCormick, J.D. Smith, R.L. Keusseyan, R.C. Mason, M.A. Fahey, C.R.S.Needes, K.W. Hang:Naslednja generacija materialov za večplastna debeloplastna vezja 230 M.H. LaBranche, C.J. McCormick, J.D. Smith, R.L. Keusseyan, R.C. Mason, M.A. Fahey, C.R.S. Needes, K.W. Hang: Next-generation, Advanced Thick Film Multilayer System KONFERENCA MIDEM'98 - POROČILO 236 MIDEM '98 CONFERENCE - REPORT PREDSTAVLJAMO PODJETJE Z NASLOVNICE 242 REPRESENT OF COMPANY FROM FRONT PAGE Iskra Varistor Iskra Varistor MIDEM IN NJEGOVI ČLANI MIDEM SOCIETY AND ITS MEMBERS Ervinu Pirtovšku v spomin 247 Ervin Pirtovšek in memoriam VESTI 248 NEWS KOLEDAR PRIREDITEV 254 CALENDAR OF EVENTS VSEBINA LETNIKA 1998 256 VOLUME 1998 CONTENT MIDEM prijavnica 259 MIDEM Registration Form Slika na naslovnici: Iskra Varistor, proizvajalec varistorjev in supresorjev Front page: Iskra Varistor, Varistor and Couplings Manufacturing Company 258