UDK 621.3:(53+54+621 +66)(05)(497.1 )=00 ISSN 0352-9045 INFORMACIJ Strokovno društvo za mikroelektroniko elektronske sestavne dele in materiale Časopis za mikroelektroniko, elektronske sestavne dele in materiale Časopis za mikroelektroniku, elektronske sastavne dijelove i materijale Journal of Microelectronics, Electronic Components and Materials INFORMACIJE MIDEM, LETNIK 23, ŠT. 3(67), LJUBLJANA, SEPTEMBER 1993 v.>v\ INFORMACIJE MIDEM 3° 1993 INFORMACIJE MIDEM LETNIK 23, ŠT. 3(67), LJUBLJANA, SEPTEMBER 1993 INFORMACIJE MIDEM GODINA 23, BR. 3(67), LJUBLJANA, SEPTEMBAR 1993 INFORMACIJE MIDEM VOLUME 23, NO. 3(67), LJUBLJANA, SEPTEMBER 1993 Izdaja trimesečno (marec, junij, september, december) Strokovno društvo za mikroelektronlko, elektronske sestavne dele in materiale. Izdaja tromjesečno (mart, jun, septembar, decembar) Stručno društvo za mikröelektroniku, elektronske sastavne dijelove i materiale. Published quarterly (march, june, september, december) by Society (or Microelectronics, Electronic Components and Materials - MIDEM. Glavni in odgovorni urednik Glavni i odgovorni urednik Editor in Chief Tehnični urednik Tehnički urednik Executive Editor Uredniški odbor Redakcioni odbor Publishing Council Časopisni svet Izdavački savet Publishing Council Naslov uredništva Adresa redakcije Headquarters Iztok Šorli, dipl.ing., MIKROIKS d.o.o., Ljubljana Janko Čolnar, MIDEM, Ljubljana Dr.Rudi Babic, dipl.ing., Tehniška fakulteta Maribor Dr.Rudi Ročak, dipl.Ing., MIKROIKS d.o.o., Ljubljana mag.Milan Slokan, dipl.ing., MIDEM, Ljubljana Zlatko Bele, dipl.ing., MIKROIKS d.o.o., Ljubljana Miroslav Turina, dipl.ing., Zagreb mag.Meta Limpel, dipl.ing., MIDEM, Ljubljana Miloš Kogovšek, dipl.ing., Iskra INDOK d.o.o., Ljubljana Dr.Slavko Amon, dipl.ing., Fakulteta za elektrotehniko in računalništvo, Ljubljana, PREDSEDNIK Dr.Marko Hrovat, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof.Dr.Zvonko Fazarinc, dipl.ing., CIS, Stanford University, Stanford, USA Dr.Marija Kosec, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof.dr.Drago Kolar, dipl.ing., Inštitut Jožef Stefan, Ljubljana RNDr. DrSc. Radomir Kužel, Charles University, Prague Prof.dr.Stane Pejovnik, dipl.ing., Kemijski inštitut Boris Kidrič, Ljubljana Prof.dr.Janez Trontelj, dipl.ing., Fakulteta za elektrotehniko in računalništvo, Ljubljana Dr.Anton Zalar, dipl.ing., IEVT, Ljubljana Dr. Peter Weissglas, Swedish Institute of Microelectronics, Stockholm Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 61000 Ljubljana, Slovenija (0)61 -316 886 Letna naročnina znaša 7000,00 SIT, cena posamezne številke je 1750,00 SIT. Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Godišnja pretplata iznosi 7000,00 SIT, cijena pojedinog broja je 1750,00 SIT, Članovi i sponzori MIDEM primaju Informacije MIDEM besplatno. Annual subscription rate is DEM 100, separate issue is DEM 25. MIDEM members and Society sponsors receive Informacije MIDEM for free. Znanstveni svet za tehnične vede I je podal pozitivno mnenje o časopisu kot znanstveno strokovni reviji za mikroelektroniko, elektronske sestavne dele in materiale. Izdajo revije sofinanci rajo Ministrstvo za znanost in tehnologijo in sponzorji društva. Scientific Council for Technical Sciences of Slovene Ministry of Science and Technology has recognized Informacije MIDEM as scientific Journal for microelectronics, electronic components and materials. Publishing of the Journal is financed by Slovene Ministry of Science and Technology and by Society sponsors. Znanstveno strokovne prispevke objavljene v Informacijah MIDEM zajemamo v: * domačo bazo podatkov ISKRA SAIDC-el, kakor tudi * v tujo bazo podatkov INSPEC Scientific and professional papers published in Informacije MIDEM are assessed into: * domestic data base ISKRA SAIDC-el and 'foreign database INSPEC Po mnenju Ministrstva za informiranje št.23/300-92 šteje glasilo Informacije MIDEM med proizvode informativnega značaja, za katere se plačuje davek od prometa proizvodov po stopnji 5 %. Grafična priprava in tisk BIRO M, Ljubljana Grafičkapriprema ištampa Printed by Naklada 1000 izvodov Tiraž 1000 primjeraka Circulation 1000 issues UDK 621.3:(53+54+621+66),ISSN0352-9045 Informacije MIDEM 23(1993)3,Ljubljana R.Ročak : Kriza na Vzhodu, ozdravljenje na Zahodu 172 R.Roiak : Crises in the East, Recovery in the West ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS Z.Fazarinc: Algoritmi za večdimenzionalno računalniško analizo polprevodnikov iz osnovnih fizikalnih principov 173 Z.Fazarinc: Algorithms for Multi-dimensional Analysis of Semiconductors Derived from First Principles R.Osredkar: Termična obdelava poliimidnih umetnih smol za uporabo v mikroelektronskih tehnologijah 183 R.Osredkar: Polyimide Resin Curing in Microelectronic Applications A.Žnidaršič : Nova generacija Mn-Zn (eritov za močnostne aplikacije 186 A.2nidar§i6 : A New Generation Mn-Zn Ferrites for Power Applications M.Jenko : Raziskave segregacije antimona na površini neorientirane elektro pločevine z metodo AES 190 M. Jenko : AES Investigation of Surface Segregation of Antimony in Non Oriented Electrical Sheets D.Donlagič, J.Koprivnikar, V.Matko : Princip senzorjev na osnovi oscilatorske diferencialne strukture 196 D.Donlagi£, J.Koprivnikar, V.Matko: Differential Ocsillator Sensors J.Skvarč : Avtomatski sistem za analizo slike TRACOS 201 J.SkvarS : Automatic Image Analysis System TRACOS D.Gradišnik, D.Donlagič : Laserski merilnik debeline s statistično obdelavo rezultatov 206 D.GradiSnik, D.Donlagii : Laser Thickness Measurer with Statistical Processing of Measurement UPORABA POLPREVODNIŠKIH IN MIKROELEKTRONSKIH KOMPONENT APPLICATION OF SEMICONDUCTOR AND MICROELECTRONIC COMPONENTS C.Hsberling : ASICs - kako izbrati optimalno rešitev 212 C.Heberling : ASICs - Selecting the Optimum Solution J.Varl, J.Žmavc : Elektronsko vezje za mini časovni števec 214 J.Varl, J.Zmavc : ASIC for Mini Timer Supresorske diode iz programa Iskre SEMICON 215 Suppressor and Limiter Diodes from Iskra SEMICON KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA CONFERENCES, COLLOQUYUMS, SEMINARS, REPORTS A.Pregelj: Tečaj osnove vakuumske tehnike 220 A.Pregelj: Seminar on Basic Vacuum Technology A.Pregelj: Prvo srečanje vakuumistov Slovenije in Hrvaške 220 A.Pregelj: First Meeting of Slovene and Croat Vacuum Societies PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE Austria Mikrosysteme International 220 Austria Mikrosysteme International VESTI 222 NEWS TERMINOLOŠKI STANDARDI 229 TERMINOLOGICAL STANDARDS MIDEM prijavnica 235 MIDEM Registration Form Slika na naslovnici: "Integrirana vezja po naročilu za ves svet". Austria Mikro Systeme International GmbH, AMS, je firma, ki se je specializirala za razvoj in proizvodnjo integriranih vezij po naročilu (ASIC) in standardnih integriranih vezij za specifično uporabo (ASSP). Firma zaseda posebej močan položaj na trgu vezij za telekomunikacije, avtoelektronike in industrijske elektronike. Front page : "ASICs for the World". Austria Mikro Systeme International GmbH, AMS, specializes in the development and production of application specific integrated circuits (ASICs) and application specific standard products (ASSPs). The company is in a strong position within the market segments telecommunications, automotive and industrial electronics. VSEBINA CONTENT CRISES IN THE EAST, RECOVERY IN THE WEST After the fall of eastern political wall the whole eastern Europe economy collapsed. This was extremely pronounced in the high tech area, especially in the electronics. Opening the door to the western electronic goods all the domestic, technologically poor and in the productivity weak industry could not compete with the well known western and Asiatic electronic industry. As a result of such situation the whole eastern semiconductor and microelectronics industry failed. In the former Yugoslav countries only the Trbovlje factory Iskra Semicon is still producing diodes. The Hungarian, Bulgarian, Czech and Slovakian, former DDR microelectronics practically does not exist or is surviving extremely hard times. Also some western microelectronic companies had very hard time last year. The most known was the dismantling of apart of IBM microelectronics. The world equipment market for semiconductors dropped by about 9.4% in 1992 also because of the deep recession in Japan. The first forecasting made by SEMI and SEAJ shows that manufacturers are expecting a recovery in the equipment industry this year with a rise of 11.2% on a value of US$ 9000 Millions. INTEL is spending US$ 1000 Millions to add 13.000 sq. m of class 1 clean room in its largest wafer fab at Rio Rancho in New Mexico. This fab makes 80486 microprocessors and other VLSI logic circuits. The technology will be of 0.4 |xm on 200 mm wafers. The new plant should start production in 1995. On MIEL92 conference at Portorose Dr. lanuzzi, an invited speaker from ST Microelectronics, presented the necessity for such billion dollar fabs. Let us hope that also MIEL93 at the door will give some contribution to this marvellous world of microelectronics. MIDEM PRESIDENT Dr. Rudolf Ročak 172 UDK 621.3:(53+54+621+66), ISSN0352-9045_Informacije MIDEM 23(1993)3, Ljubljana ALGORITHMS FOR MULTI-DIMENSIONAL ANALYSIS OF SEMICONDUCTORS DERIVED FROM FIRST PRINCIPLES Zvonko Fazarinc KEYWORDS: semiconductors, semiconductor structure, computer analysis, algorithms, C-language, charge carriers, transport equation, electron distribution, hole distribution, electric field, basic principles, multi-dimensional ABSTRACT: Expressions for multi-dimensional analysis of semiconductor structures in the discrete domain are derived from first principles. A simple structure is analyzed and the algorithms are cast in C-language. Algoritmi za večdimenzionalno računalniško analizo polprevodnikov iz osnovnih fizikalnih principov KLJUČNE BESEDE: polprevodniki, strukture polprevodniške, računalniška analiza, algoritmi, C jezik, nosilci nabojev, enačba transportna, porazdelitev elektronov, porazdelitev vrzeli, polje električno, principi osnovni, večdimenzionalnost POVZETEK: Izrazi za večdimenzionalno računalniško analizo polprevodnikih struktur so izvedeni iz osnovnih fizikalnih zakonov. Uporaba je ilustrirana na enostavnem primeru in algoritmi so prikazani v C-jeziku. 1. Introduction Multi-dimensional analysis of semiconductor structures is commonly deferred to pre-canned computer programs [1] which often drape a veil of mystery over the inner workings of such design tools. The Poisson's equation is usually taken as the basis for evaluation of Fermi levels which then control the distribution of charged carriers. When the transport equation is used instead, the carrier distributions are computed from its discrete counterpart. This is prone to producing wrong answeres and makes the imposition of boundary conditions quite difficult. We will be making use of the classical physics principles which are applicable to semiconductor structures larger than a few tenths of microns. For smaller structures quantum mechanics must be invoked and the reader should take note of this. 2. The Method of Approach Before we address the general case we introduce the methodology with a simple example. Fig. 1 illustrates three points in space separated by Ax. With each location we associate a particle count C(x,t) at time t. The purpose of this paper is to derive the relevant equations for multi-dimensional analysis of semiconductors in discrete form directly from first physical principles. Such approach effectively avoids the hazards of discretization of partial differential equations [2], makes the imposition of boundary conditions, intuitive and, most importantly, it provides the practicing engineer and the novice with an insight which enables them to independently access the analytical powers of computers. The limitations of a paper prevent us from developing anything resembling a complete source code. Nevertheless, we will address the crucial ideas and make them understandable so that they can be embellished with refinements when needed. C(x-Ax,t) C(x,t) C(x + Ax,t) x-Ax x x + Ax -F Fig. 1: Illustration of three points in one-dimensional space. Particles are assumed to be in random thermal agitation which implies that they are equally likely to move to the left or to the right. We denote the likelyhood of their motion in one and the other direction by I. This means 163 Informacije MIDEM 23(1993)3, str. 173-182 Z. Fazarinc: Algorithms for Multi-dimensional Analysis _of Semiconductors Derived from First Principles that /-times the number of particles in a given position will move to the left and the same number will move to the right. We make a simplifying assumption that particles which do move make one single space step Ax in one time increment At. Armed with this information we can entertain the following question: "What will the concentration be in position x at time f+Af given the status at time /?" The answer proceeds along the following line of reasoning. If the likely hood of motion is / then Mimes the number of particles in position x - Ax will move into position x during one time interval At. During the same time /-times the number of particles will move into position x form x + Ax. 2/-times the number of particles initially residing at xwill have moved out of this position. What we have then left at x is C(x,t + At) = C(x,t)+!C(x-Ax,t) +lC(x+Ax,t)-2lC(x,t) (1) The mathematical manipulation below is intended to show that (1) is the discrete form of the diffusion equation with diffusivity D given by D = I Ax2/At (2) First we subtract C(x,t) on both sides of equation (1) and divide by At. Then we multiply and divide the RHS of the resulting equation by Ax2 and obtain C(x,t +At)-C(x,t) = At I Ax2 At C(x-Ax,t) + C(x + Ax,t)-2C(x,t) Ax2 We recognize the numerator of the LHS of the above equation as the temporal difference of C(x,t) and the numerator of the RHS as the second spatial difference of C(x,t). Upon taking the limit as Ax and At go to zero we end up with the familiar basic diffusion equation for which the relationship (2) applies. 3C(x,t) _Dd2C(x,t) dt dx¿ A temporal sequence of plots produced by (1) when the initial distribution is a 5-function in the center and a unit step at the left is shown in Fig.2. An implementation of our example in C-language is shown below // Initialization: for (x = 0; x<200; x+ +) C[x] = 0; for (x=0; x<20; x+ +) C[x] = 1.0; C[100]= 10.0; //Time loop of N passes: for (t = 0; t< N; t+ +) {// Space loop: L = 1.0; Fig. 2: Solution of discrete diffusion equation in one dimension for (x = 0; x< 200; x+ +) {temp = 0.25*C[x-1] + 0.25*C[x+1] + 0.5*C[x]; C[x-1] = L; L= temp; // Plot temp vs x } The timing required by (1) has been implemented in the above algorithm by reliance on memory management of computers. The values on the RHS of an equation are always taken to be the old values and those on the LHS of equation as the new ones. But we update C[x] by the new value only after we have computed C[x+1] which requires the old value of C[x], This is accomplished by swapping the temp variable with L every time we pass through the space loop. It is obvious that the I value has been taken to be 0.25 in the example. One may wonder if such simple algorithm truly represents the solution of the diffusion equation. A quantitative comparison of (1) with the continuous diffusion equation is given in reference [2], Next we allow a force F, indicated in Fig. 1, to act uniformly on all particles. It is not difficult to conclude that a positive force - one that pushes to the right - will increase the likelyhood of particles moving to the right. At the same time it will decrease their chances of moving to the left. We can modify equation (1) for this case by introducing a skew factor /which is related to the force Fand which biases the likelyhood I in the direction of force F. C(x,t+At) = C(xj) + (I +f)C(x-Ax,t) + (l-f)C(x + Ax,t) - 21 C.(x,t) (3) The effect of force on C(x,t) cancels out in this simple example as the reader may verify. Expression (3) is the transport equation in one dimension with constant diffu- 174 Z. Fazarinc: Algorithms for Multi-dimensional Analysis of Semiconductors Derived from First Principles_ Informacije MIDEM 23(1993)3, str. 173-182 sivity and force. To prove this we subtract C(x,t) on both sides, divide by Af and separate the I and f contributions C(x,t + " C(x>1) = (x - Ax,t) + C (x + Ax,t) -2C(x,t)]+-£-[C(x -Ax,t) -C(x +Ax,t)] Now we need a relationship between the factor /and the force F. This is best done by comparing the energies involved during the move. The thermal energy kT is related to I in the same manner as the energy derived from the force field is related to f. A formal expression to that effect is /:/ = kT: F Ax It yields the following for the force factor FAx f = l 2kT (4) We substitute (4) into our last equation, multiply and divide the first term on the right by Ax^, and the second term by 2Ax and end up with the following difference equation C(x,t +At)-C(x,t) = At I Ax2 C(x-Ax,t) + C(x + Ax,t)-2C(x,t) At Ax2 21 Ax2 F C(x + Ax,t)-C(x-Ax,t) At 2kT 2Ax ' A*"' 11 1 —-I o /-041 Fig. 3: Solution of transport equation for one-dimensional case. (3) has been derived make the introduction of boundary conditions particularly easy. We will now exploit the method just introduced for answering some of the more profound questions. One of them involves the diffusivity gradient. If D is a function of space, does it appear under the first or the second derivative sign? What if the diffusivity and force have different gradients along the spatial directions? How do we handle multidimensional analysis of semiconductors in general? These and some other questions will be addressed as we proceed. The second term on the right is readily recognized as the first central difference in x of C(x,t). It becomes the first derivative with respect to x when the limit is taken. The above equation then assumes the form dC(x,t) _ d2C(x,t) F dC(x,t) dt dx2 kT dx In the above we have substituted (2) for /Ax2/At. Fig.3 shows a plot of expression (3) as function of x for a uniform force F with time being a parameter. Initial conditions are identical to those in Fig.2. The numeric values for the plot of Fig.3 were generated by a source code identical to that shown earlier. The only difference is that the skew factor is introduced. We have chosen its value to be f = 0.4 I = 0.1. Consequently the only modification of the algorithm is in the space loop which now reads: temp = 0.35*C[x-1] + ,15*C[x+1] + .5*C[x]; Everything else remains the same. The reader, familiar with problems arising in computer solutions of transport equation may find (3) to be of considerable interest. Its simplicity and the physical basis from which 3. Transport of Particles in Two Dimensions The motion of charge carriers in semiconductors is governed by thermal energy, by electrical forces* and by properties of material through which they are moving. Their number depends on influx and outflow and on generation and recombination of oppositely charged pairs. We will derive the relevant equations taking into consideration the spatial variability of these effects and will allow, in addition, the temporal variation of electric fields and of carrier concentrations. In order to shield the derivation from excessive notational complexity we will limit it to the two-dimensional case. The extension to three dimensions will become self evident as we proceed. We start with the illustration in Fig.4 which shows two points in x-space separated by Ax and a third point displaced in y-space by Ay. We assign the likelyhood of thermal motion in x-direction by lx and that in y-direction * Gravitational forces are negligible in comparison 175 Informacije MIDEM 23(1993)3, str. 173-182 Z. Fazarinc: Algorithms for Multi-dimensional Analysis _of Semiconductors Derived from First Principles • /,. U. • Equations (6) and (7) contain the particle flux information which we intend to address later. At this time we remain focussed on our goal to obtain the complete transport equation of the form (3) in two dimensions and for Fig. 4: ly- fy Fy. , Ny. xy-A y Illustration of three points in two-dimensional space. by ly. We also allow a force Fx to act in the x-direction and a force Fy in the y-direction. These forces are responsible for the respective skew factors fx and fy through the relationship (4). The motion likelyhood I and its skew f are assigned to the space between discrete spatial locations rather than to the locations themselves. The reason for this can be understood physically if we considerthe case where the point (x- Ax,y) belongs to the conducting region and the point (x,y) to an insulating layer characterized by / = 0. The particles can move into the insulator but they could never escape if the property of space / = 0 were assigned to (x,y) itself. On the other hand, if I = 0 is assigned to the space between the two points, no particle exchange can take place across the boundary. Force factor f, being a bias to I must share the same space. The rule is implemented in Fig.4 by making the subscript "x" to mean "half Ax to the left of x" and "y." to mean "half Ay below y". Using this notation we can state that during one time interval At there will be [lx(x.,y) + fx(x.,y)]C(x-Ax,y,t) particles flowing from left to right and [lx(x. ,y)-fx(x-,y)]C(x,y,t) particles flowing from right to left. The net numberof particles Nx(x.,y)ilowing from (x-Ax,y)\o (x,yj is the difference of these two terms Arx(x.,y) = Vx(x.,y)+fAx.,y)]C(x-Axiytt) •[lx(x.y)-fx(x.,y)]C(x,y, t) (6) Similarly we get for the vertical or y-direction the following expression for the net number of particles Ny(x,y.) flowing from (x,y - Ay) towards (x,y) My(x,y.) = [ly(x,y.)+fy(x,y.)]C(x,y-Ay,t) -Mx,y.)-fy(x,y-)]C(x,y,t) (7) Fy + , Ny + xy+Ay A>+ fy + xy x + Ax,y Fx + , Nx + Fig. 5: The other points in two-dimensional space variable diffusivities and forces. This requires that we know all the influxes and outflows from (x,y). Fig.4 guided us to obtain two of them, expressed in (6) and (7). With the aid of Fig.5 we obtain the other two which flow to the right and upward of (x,y) respectively. We denote the net number of particles flowing out of (x,y) towards (x + Ax,y) by Nx(x+,y). Their count is Nx(x + ,y) = [lx(x + ,y)+fx(x + y)]C(x,y,t) - [lx(x + ,y)-fx(x + ,y))C(x+Ax,y,t) (o) The net number of particles Ny(x,y+), flowing from (x,y) upward towards (x,y+ Ay) is Ny(x,y + ) = [ly(x,y + )+fy(x,y + )]C(x,y,t) - [ly(x,y +) - fy(x,y + )]C(xy +Ay,t) (9) We can write an expression for the particle count at time t + At at the point (x,y) by adding all inflowing particles to C(x,y,t) and subtracting all outflowing particles from it. We will allow for the possibility that in addition to particle exchange some of them are being generated at the rate G(x,y) while some are being lost at the rate R(x,y). This produces a net particle increase of [G(x,y) -R(x,y)] At during the time interval At. The total particle count at (x,y) at t+ At. The total particle count at (x,y) at t+ At is then C(x,y,t+At) = C(x,y,t) +Nx(x_,y) + Ny(x,y.)-Nx(x + ,y)-Ny(x,y + ) + [G(x,y)-R(x,y)}At (10) 176 Z. Fazarinc: Algorithms for Multi-dimensional Analysis of Semiconductors Derived from First Principles_ Informacije MIDEM 23(1993)3, str. 173-182 Equation (10) in association with (6) through (9) is the discrete form of the two dimensional transport equation and we will make use of it shortly. But first we will perform a limiting process on it to obtain the equivalent differential equation. Rather than blindly substituting expressions (6) through (9) into (10) by noting that the difference Nx(x+,y,t) - Nx(x-,y,t) refers to the midpoint between (x-,y) and (x+,y). But this is excatly (x,y) by our definition of x-and Consequently we can write the difference Nx(x+,y,t) - Nx(x-,y,f) as AxNx(x,y,t)where Ax signifies that the difference is with respect to x. When we do the same with the y-difference we get for (10) C(*,y,/+A0 = C(x,y,t)-AxNx(xy,t)-AyNy(xy,t) + [G(x,y)-R(xj)]At (11) The value for Nx(x,y,t) can be formally derived from (6) or (8) by respectively incrementing or decrementing all x-arguments by x/2. The result is Nx(x,y,t) = [lx(x,y)+fx(x,y)]C(x.,y,t) -fx(x,y)]C(x + ,y,t) (12) In the above we have used the established notation for half-Ax values as, for example, C(x- Ax/2,y,f) = C(x.,y,t). Similarly we get by either incrementing (7) or decrementing (9) by Ay/2 the expression for Ny(x,y) Ny(x,y,t) = [ly(x,y)+fy(x,y)]C (x,y.,t) -Uy(x,y)-fy(x,y)}C(x,y + ft) (13) Substitution of (12) and (13) into (11) yields C(xy,t +A/) = C(^>r)-Ai[^>y)[C(ar.,y,f)-C(j: + j',0]] - A, [&(ro0l C (x.y,t) + C (x + ¿-.f))] - Ay [/yC*^)[C (x,y.,t) ' C (x>y + ,0l] - dy ][,M[C + C (xy + ,0]] + [G (xj>) -R(xy)]At This time we recognize the term C(x.,y,t) - C(x+,y,t) to be the negative difference centered on (x,y). Consequently we can denote it by - A*C(x,y,t). Similarly for the y-direc-tion. Furthermore the sum of C(x.,y,t) + C(x+,y,t) = 2C(x,y,tj and becomes exact when the increments go to zero which we are just about to do. We have now C(xy,t +At) = C(x,y,t) + Ar[/t(jyOA,C(.xo\0] - A* [fx (x,y )2C (xy,t) ] + Ay[ly(xj)AyC(xj,t)] By means of (4) we convert /-factors to I and then employ (2) to convert /-factors to diffusivity D. We also subtract C(x,y,t) on both sides of equation and divide them byAi. A straightforward algebraic manipulation leads to C(x,y,t+At)-C(x,yJ) = At Ax Ax *>x(xy)——C(xy,t) ^L Ay Dy(x,y) kT Fy(x,yJ) kT Dx{xj) Ay Ay Dy{xj) Ax AyC(xy,t) Ay C(x,y,l) + IG(xj)-R(XJ)] In the limit when all increments go to zero the above becomes a differential equation 9CJMJ1 dt ax Dx(x,y) dC (x,y,t) Fx(x,y)C(x,y,t) ax ' kT <')[C(x.ty,t)+C(x + j,t)] + fy(xy)[C(xj.,t) + C(xy + ,t)] The same kind of reasoning which led to the last differential equation in the previous Section guided us from here to the particle flux equations in differential form dcfat) _F*(x^c(xvt) dx kT {'y') ay kT 9xQcyj) = -Dx(x,y) ®Ax>y>0 - -Dy(x>y) If we multiply the flux by electric charge q we get the electric current density. The forces in this case are qEx and qEy, respectivelyforpositive charges, i.e., holes and -qEx and -qEy for electrons where Ex and Ey are the electric fields. The concentrations C(x,y,t) are n(x,y,t) for electrons and p(x,y,t) for holes. The corresponding four current density equations are = qD nx 3ny(x,y,t) = qD„y(xy) jpx(x,y,t) = -qDpxixy) jpy(x,y,t) = -qDpy(x,y) dx kT dy kT The reader may note that the so called Einstein relationship between carrier diffusivity D and their mobility (a is contained in the above equations kT Before we leave this section let us examine equations (12) and (13) for physical consistency. Assume that the force factor f which depends on the electric field becomes larger than I in (12) or (13). This implies that the particle flow represented by the second term of either equation reverses its direction. This could quickly deplete the particles at the adjacent point and would even make their concentration go negative. Such physical impossibilities usually present themselves as numeric instabilities. We can therefore derive a stability condition from requiring that f never exceedes the value of I. Using (4) this condition can be writen as FAx/kT< 1. For the electric case this translates into EAx <16> x y u V + and = SEES" f ) ,17, * y " v {u-x)2 + {v-y + ^-Y We will now illustrate how (10) can be applied to impurity redistribution and to subsequent charge migration and how (16) and (17) can be used to compute the resulting forces opposing such migration. This is done in the hopes that the reader will be encouraged to experiment with multidimensional electric transport problems on his own. C(x,y, 0) i C (a,)', 5 mm) Equation (10) simplifies then into C(x,y,t + Ai) = 0.5 C(x,y,t) + 0.125 [C(x -&x,t) iClx+Ax,t) + C(xy-Ay,t) + C(x,y +Ay,0] (18) Denote the number of Ax steps by X and the number of Ay steps by Y and assign a two-dimensional array C(x,y) to the impurity concentration. We can then write the algorithm which represents the above equation in C-lan-guage as for (y = 0; y 0.0) {n[x,y] = C[x,y]/2.0 + sqrt(C[x,y]*C[x,y]/4.0 + 2.0e20); p[x,y] = 2.0e20/n[x,y]; } if (C[x,y] < 0.0) (p[x,y] = - C[x,y]/2.0 + sqrt(C[x,y]*C[x,y]/4.0 + 2.0e20); n[x,y] = 2.0e20/p(x,y); } ¡f (C[x,y]= = 0.0) (n[x,y] = 0.0; p[x,y] = 0.0;} } } where 2.0e20 stands for squared intrinsic concentration of Silicon. Gradients of holes and electrons seen in Fig.8 give rise to their migration into the adjacent regions of low density which destroys the initially imposed neutrality. This gives rise to electric fields which tend to oppose the migration and which we will compute later. First we use (10) again to compute the movement of charges but this time we want to distinguish the migration rates of holes and Q(x,y) = C(x,y)+p(x,y)-n(x,y) N Fig. 9: Net charge distribution after 10 picoseconds electrons. We assign a diffusivity Dn = 28 to the latter and Dp = 9 to the former. These numbers are in fair agreement with the respective impurity concentrations. We assign the value l„ = .125 to the highest diffusivity. The corresponding value of lp must then be .125x9/28 = .04. With these values and with the force factors initially set to zero we have obtained the net charge density distribution Q(x,y) shown in Fig.9 after approximately 10 picoseconds. It is apparent from Fig.9 that the departure of electrons along the four boundaries of the A/-region produces a net positive charge. Similarly the departure of holes from the P-region produces a net negative charge all around the boundary. A charge reversal is observed at the junction. Implemention in C-language of equations (8) through (10) which have produced Fig.9 is shown below. 175 Z. Fazarinc: Algorithms for Multi-dimensional Analysis of Semiconductors Derived from First Principles_ Informacije MIDEM 23(1993)3, str. 173-182 for (i = 0; i < 5; i++) {for (x= 0; x < X; x++) {for (y= 0; y < Y; y++) {Fxy = .125*(fx[x,y] - fx[x+1 ,y] + fy[x,y] -fy[x,y+i]); tempN = (.5-Fxy)*n[x,y] + ,125*(1.0-fx[x,y])*n[x-1,y] + . 125*( 1.0 + fx[x+1 ,y])*n[x + 1 ,y] + .1 25*(1.0-fy[x,y])*n[x,y-1 ] + ,125*(1.0 + fy[x,y+1])*n[x,y+1]; n[x-1,y]= L[y]; L[y] = tempN; tempP= (.84 + Fxy)*p[x,y] + ,04*(1.0 + fx[x,y] *p[x-1 ,y] + .04*(1.0 - fx[x+1 ,y]*p[x+1 ,y] + ,04*(1.0 + fy[x,y])*p[x,y-1] + .04*(1.0 - fy[x,y+1])*p[x,y+1]; p[x-1,y]= L[y];L[y]= tempP; } } } for (x= 0; x < X; x++) for (y= 0; y < Y; y++) Q[x,y] = C[x,y] + p[x,y] - n[x,y]; In the above we have made the following substitutions fxM = Ex(x.,y)&x-jL fx[x+ l,y] = Ex(x + kT fyfcyl = Ey(xy fyfcy +1] - ^y(x,y+)Ay-^ kT delX = u - x; if (delX! = 0) {delY = v - y + .5; EY = EY + Q[u,v] *delY/ (*delY*delY + delX*delX), } } fx[x,y]= EX*1,0e-10; fy[x,y]= EY*1.0e-10; } The factor 10"10 in the above takes account of the permittivity of Silicon, of the integration intervals of the factor q/kTand of the conversion between surface and volume density of charges involved. An interpretation of the major features of Fig. 10 tells us that the force on electrons and holes is directed inward both along the x-axis. The y field shows more intensity in the Ai-region than in the P which has its origin in the higher donor concentration and electron diffusivity. One major but expected feature of the electric field Ex is its high intensity along the junction region. This field eventually blocks the further migration of electrons and holes into the adjacent regions. An actual simulation of a semiconductor structure would alternate between the last two algorithms, computing the net charges from the first one and finding the resulting force factors from the latter one. These would then be substituted back into the first one. An equilibrium would eventually be established at which point te migration would cease completely. Fig. 10: Components of the electric field. We have allowed five passes through the algorithm. Because the time interval is At = I fAx//D each pass is somewhat shorter than 2 picoseconds. The net charge density Q(x,y) is obtained from the resulting distributions of p(x,y) and n(x,y) as the last step in the algorithm. When we substitute this into (16) and (17) we obtain the two components of the electric field. These are shown in Fig. 10 and a C-version of the algorithm which generated the numeric values Is reproduced below the figure. for (y = 0; y < Y; y++) for (x = 0; x < X; x++) {EX = EY = 0.0; for (u = 0; u < X; u++) for (v = 0; v < Y; v++) {delY = v-y; if(delY! = 0) {delX = u - x + .5; EX = EX + Q [u,v] *delX/(delY*delY + delX'delX); } Before we conclude let us recognize that the electronic fields could be computed in an alternate way. It is known [5], [6], [7] that the expression we have derived for the electric field from Coulomb's law is in fact the formal solution of the Poisson's differential equation ax ay . e Where Q is the charge density. Unfortunately this one equation with two unknowns and as such not very useful. But it is also known from the field vector theory [8] that field components Ex and Ey are the gradients of a function V(x,y) which satisfies the Poisson equation in the following way d2V(x,y) ( d2V(x,y) Q(xy) ax2 ay2 e When this equation is solved for the scalar quantity V(x,y) the field components can be obtained as the respective partial derivatives EA*,y) = -^ and = It turns out that the effort in solving the Poisson equation for the potential is comparable to what we have done and our approach is more in keeping with the promise of staying close to first principles. Nevertheless it ought 175 Informacije MIDEM 23(1993)3, str. 173-182 Z. Fazarinc: Algorithms for Multi-dimensional Analysis _of Semiconductors Derived from First Principles to be pointed out that the Poisson equation in terms of potential V(x,y) can be solved by the same algorithm we have developed for the particle transport by diffusion. The diffusion equation in two dimensions with constant diffusivity has the differential form dV(x,y,Q d2V(x,y,t) , d2V(x,y,t) dt ax2 dy2 When add a source function to the RHS of the above and solve the equation for steady state condition, i.e. BV(x,y,t)/Qtthe equation goes over into the form d2V(x,y) ( d2V(x,y) Q(x,y) dx2 dy2 e Consequently we can solve the Poisson equation with the aidof equation (15) as implemented inthe respective C-algorithm when we add the source function Q(x,y)/e to the RHS of the temp expression. When there are no more changes between two successive evaluations of temp the resulting distribution C[x,y] is the solution of the Poisson equation. The results obtained for our test case are identical with one or the other method and the time consumed is about the same. 7. Conclusions The transport equation and the equivalent of the Poisson equation were derived from first principles without invocation of unsubstantiated abstraction. The two-dimen-sional case has been tested on a simple semiconductor structure and the corresponding algorithms have been presented in the C-language. The intent was to show that the availability of computers warrants a fresh look at the traditionally accepted mathematical models and that more intuitive approaches are made possible by taking advantage of present-day computer performance. Acknowledgement The highly efficient and versatile algorithm which was used to plot the two-dimensional distributions was developed and given to me by Ing. Dragan Fidler of Zemun. References [1] SUPREME by Stanord, PISCES and DEPICT by Tehnology Modeling Associates, SIMPEL by Berkeley, MASTER by Silvacor. [2] Z.Fazarinc, Discretization of Partial Differential Equations for Computer Evaluation, Computer Applications in Engineering Education. Vol 1, No 1, pp.73-85, John Wiley, 1992-93. [3] C.Kittel and H.Kroemer, Thermal Physics 2-nd Ed., Freeman & Co., San Francisco 1980, p270 Derived from Thermodynamics, p268 from reaction rates, p361 -3 from density of states. [4] A.S Grove, Physics and Technology of Semiconductor Devices, John Wiley 1967, p 100-1. [5] James C. Maxwell, A Treatise on Electricity & Magnetism, Clarendon Press, 1891, Chapter II. [6j Oliver Heaviside, Electrician, Phil. Mag. XXVII, 1889, p.324 [7] Simeon D.Poisson, Bull.de la Soc. Philomathique iii, 1813, p.388 [8] I.Sokolnikoff and R.Redheffer, Mathematics of Physics and Modern Engineering, McGraw Hill, 1958, p386-7 prof. Zvonko Fazarinc, Ph.D Stanford University, ret. Hewlett-Packard Laboratories, ret. 880 La Mesa Drive Menlo Park, CA 94025 Prispelo: 27.08.93 Sprejeto:17.09.93 182 UDK 621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 23(1993)3, Ljubljana POLYIMIDE RESIN CURING IN MICROELECTRONIC APPLICATIONS Radko Osredkar KEYWORDS: microelectronics, resins, polymide resins, polymerizartion temperature, film quality, thin films, film degradation, film curing ABSTRACT: Curing cycles of polyimide resins may be tailored to a specific microelectronics application, and polymerization times shortened without compromising the quality of the resulting film. However, curing these resins below 300°C may prevent full imidization and degrade the film. Termična obdelava poliimidnih umetnih smol za uporabo v mikroelektronskih tehnologijah KLJUČNE BESEDE: mikroelektronika, smole umetne, smole poliimidne, temperatura polimerizacije, kakovost plasti, plasti tanke, degradacija plasti, vulkanizacija plasti POVZETEK: Termično obdelavo poliimidnih umetnih smol, ki jih uporabljajo v mikroelektronskih tehnologijah, je moč prilagoditi neki specifični uporabi. Vendar pa polimerizacija ne sme potekati pri temperaturah pod 300°C, ker sicer reakcija ne steče do kraja in kvaliteta takih polimernih tankih plasti je slaba. 1. Introduction The polyimide group of cross linkable polymers has been investigated extensively in recent years, and successfully applied as planarization layers, intermetal dielectrics, passivation films, alpha particle barriers, ad-hesives, etc. in integrated as well as hybrid circuit technologies/1,2/. Photosensitive polyimide resins have considerably increased the number of different applications of these materials /3/. The use of polyimide resins is primarily justified by its superior chemical and physical properties when cured, by its compatibility with many of the materials used in hybrid and integrated circuit technologies, and ease of their application which is similar to photoresist processing, including the required deposition and curing equipment. Some of the drawbacks of the polyimide resins, their relatively short shelf life and gelled in time which influences their viscosity stability, can be bypassed by good housekeeping and are usually of little concern in a production environment. Polyimide processing is relatively simple, convenient and adaptable. However, with respect to times and temperatures used in a curing cycle, there are restrictions which have to be observed when designing a curing schedule for a specific application. IR spectroscopy is a convenient tool for studying the state of poly- merization of these resins /8,9/, and a study of polyimide polymerization by this method is presented. (Results of this study have been previously published /8/.) 2. Materials and methods The polyimide resin used in this work was Hitachi Chemical Co. PIQ (polyimide isoindoloquinazoline-dione). The viscosity of the uncured resin is 1130 cps at 23°C. To facilitate spin coating the resin has been diluted with DMSO (4 parts resin to 1 part solvent). No adhesion promoter was used, even though in IC applications its use seems to be necessary /11/. Silicon wafers were coated with 2 successive coats of polyimide resin, resulting in a 2.0 jim thick film. The first coat was applied at 3000 RPM (30 sec) and dried at a 85°C for 30 min. to remove the solvents. The second coat was then applied and the resulting polyimide film cured. The two step coating cycle has been used in orderto obtain a uniform film thick enough to yield IR spectra with an adequate signal to noise ratio (100 :1 in fully cured films). The IR spectrometer used is a Perkin Elmer Model 783, operated in the transmission mode. The curing cycle recommended by the vendor of the resin is comprised of consecutive bakings at 100°C, 220°C, and 350°C for 1 hour each, in air ambient /4/. This is similar to the curing schemes for other polyimide products /1/. 183 Informacije MIDEM 23(1993)3, str. 183-185 R. Osredkar: Polyimide Resin Curing in Microelectronic ___Applications The intensity of the imide bond absorption line at 1377 cm"1 /5/ was measured after the curing of the resin. No increase of the line intensity could be observed by increasing the duration and temperature of the recommended curing cycle, and therefore a sample cured as described above was considered to be fully cured. The degree of polymerization of a partially cured resin was obtained by measuring the intensity of the imide absorption line, relative to the intensity of the line in a fully cured resin. 3. Results and discussion Time dependence of the degree of imidization during curing at 200°C and 300°C is shown on Fig. 1. It can be observed that at 300°C the resin is fully cured already after 20 min. of curing time, without any previous baking at a lower temperature. Obviously the recommended curing cycle is set quite conservatively and can be shortened without compromising the degree of polymerization of the polyimide film. Curing the polyimide film even for extended periods at 200°C will not cause it to polymerize fully. Subsequent The activation energy of polymerization of the polyimide resin is 70 kJ/mole, as calculated from the data in Fig. 1. This value is on the high side of the range of the activation energies of similar polymers /6,7/. Temperature dependence of the degree of imidization is shown on Fig. 2. Uncured films of polyimide resin were baked for 1 hour at a certain temperature, and the degree of imidization relative to the fully cured film measured. As above, the degree of imidization at 200°C remains limited, even after 20 hours baking time, and the film will not cure fully under subsequent exposure to 300°C. However, in films cured at 100°C subsequent high temperature curing will somewhat increase the degree of imidization. Fig. 2: Temperature dependence of the degree of imidization (in %) after 1 hour of curing time. 4. Conclusion Fig. 1: Dependendence of the degree of imidization (in %) on curing time at 200 deg. C and 300 deg. C. After 19.3 hours at 200 deg. C the sample was baked at 300 deg. C for one hour. curing of the resin at 300°C, after it has been exposed to 200°C for 20 hours, does not increase its degree of imidization. This indicates that prolonged exposure of uncured polyimide films to temperatures below 300°C will inhibit complete curing of the films, thereby degrading their properties. This incomplete curing may be a solvent effect, as described in literature /10/. In particular, the otherwise excellent scratch resistance of the polyimide passivation films seems to be much reduced by incomplete curing. The standard curing schedule of the polyimide resin offers some latitude with respect to the reduced baking times. This is not true for the curing temperatures -extended exposures of the uncured resin films to temperature below 300°C will prevent full imidization of the material, thereby considerably reducing the properties of the polyimide films. This has to be taken into the account when designing a curing schedule for a specific application. The author gratefully acknowledges the discussions and assistance with the IR measurements of Dr. F. Černec of the Institut za celulozo in papir, Ljubljana. 172 R. Osredkar: Polyimide Resin Curing in Microelectronic Applications_ Informacije MIDEM 23(1993)3, str. 183-185 5. References /1/J. Rhodes, Semiconductor Internat., 65, (March 1981) 121 Schlitz et al., J. Electrochem. Soc.: Solid-State Sc. and Tech., 133, No 1,178, (Jan. 1986) /3/ C. Miller, Circuits Manuf., (April 1977) /4/ Hitachi Chemical Co. Publication No. Y.2077 /5/ Hummel Scholl, Atlas der Kunststoff Analyse, Karel Hanser Verlag, (1968) /6/ W. Lenz, Organic Chemistry of Synthetic High Polymers, Inter-science Publishers, John Wiley, New York, (1967) /7/ H. Solomon (Editor), Step Growth Polymerizations, D. C. Jones andT. R. White, 62, Marcel Deker, New York, (1972) /8/ Osredkar, Microelectron. Reliab., Vol 28, No. 4, pp 599603, (1988) /9/ N. Krasovskii et al, Polym. Sci. USSR, Vol 21,1038 (1980) /10/ T.-C. J. Hsu and Z.-L. Liu, J. Appl. Polymer Sc., Vol 46,1821-1833(1922) /11/ G. Narechania et al, 1984 Int. Electron. Devices Meeting, pp 214-217, IEEE-IRPS (1984) dr. Radko Osredkar, dipl. ing University of Ljubljana, Faculty of Electrical Engineering and Computer Science, Tržaka25, 61 000, Ljubljana, Slovenia Prispelo: 12.07.93 Sprejeto: 03.09.93 185 Informacije MIDEM 23(1993)3, Ljubljana_UDK 621.3:(53+54+621+66), ISSN0352-9045 NOVA GENERACIJA Mn - Zn FERITOV ZA MOČNOSTNE APLIKACIJE Andrej Žnidaršič KLJUČNE BESEDE: materiali magnetni, feriti močnostni, MnZn feriti, lastnosti magnetne, pretvorniki napetostni, napajalnik močnostni, raziskava materiala, področje frekvenčno, permeabilnost začetna, predmagnetizacija, izgube magnetne POVZETEK: Študirali smo feritne materiale uporabne v različnih napetostnih pretvornikih. Izboljšali smo njihove magnetne lastnosti, zvišali začetno permeabilnost pi in nasičenjsko magnetizacijo Bs ter zmanjšali magnetne izgube P/V. Novi feritni materiali so posebno primerni za aplikacijo s predmagnetizacijo v frekvenčnem področju od 300 kHz do 1 MHz. A new generation Mn - Zn ferrites for power applications KEY WORDS: magnetic materials, power ferrites, MnZn ferrites, magnetic properties, voltage converters, power supplies, materials research, frequency domain, initial permeability, premagnetization, magnetic losses ABSTRACT: Ferrite materials for different switch power supplies were studied. The magnetic properties were improved. Initial permeability - and saturation magnetisation - Bs were increased, while the magnetic losses P/V were decreased. The popular frequency range for switching power supplies is from 10 to 300kHz at present, but it is more likely to be 500 kHz to some MHz in the near future. It is well-known that predominant losses in Mn-Zn ferrite are hysteresis and eddy current losses. The main motivation for using ferrite in transformers cores are low eddy current losses. Eddy current loss can be reduced by increasing the resistivity of the ferrite which depends on the grain boundary resistivity and the grain resistivity. Three type of additions can be distinguished with respect to the kind of incorporation in the basic ferrite. The first type of addition acts indirectly via liquid phase formation and influence the microstructural development during sintering. Additions of the second type modify the grain boundary chemistry and increase the grain boundary resistivity, as for example Ca, Si. The third type of cation is soluble in the spinel lattice, as for example Ta, Sn etc. They effect the intrinsic properties such as magnetization, anistropy, resistivity and after effects. The desired chemical composition must lead to a high saturation magnetization and to a total anistropy optimized according to the operating frequency, the operating temperature and the ceramic microstructure. Raw material quality determines ferrite quality. The microstructure of high frequency power ferrite must be controlled very carefully. So for synthetising a power ferrite with high performances, the raw materials impurities content and powder reactivity before firing have to be controlled precisely. Firing is a very important step in the process, because it is during this step that the ferrite is definitively synthesized and that the microstructure is performed. So atmosphere control in firing profile have to be carefully chosen. Our main goal was to obtain a fine and uniform microstructure and this has been possible by controlling sintering temperature, heating rate and high temperature soak time. Moreover, during the firing, the oxygen partial pressure p02 determines the Fe2+ / Fe3* ratio and then increase the resistivity by decreasing the hopping mechanism. A new power ferrite designated as 35G, 45G and 65G for switching power supplies in frequency range from 300 kHz to 1 MHz has been successfully developed and put in the market already. UVOD Feriti so in ostajajo tehnično zelo pomembni oksidni materiali. Obseg in uporaba feritnih jeder se na različnih področjih elektronike zelo spreminja. Zavisi od razvoja in aplikativnih sposobnosti samih feritov, kot tudi drugih pasivnih in aktivnih komponent. S prehodom od analogne na digitalno telekomunikacijsko tehniko se večajo potrebe po širokopasovnih prenosnikih, s prodorom elektronike na različna področja tehnike pa naraščajo potrebe po necentraliziranih tokovnih izvorih, impulznih napetostnih pretvornikih itd., v katerih so vgrajeni visokofrekvenčni maloizgubni močnostni Mn - Zn feriti. Potrebe po prenosu večjih moči ob istočasnih zahtevah po miniaturizaciji pa narekujejo raziskave v smeri razvoja novih feritnih materialov z nizkimi magnetnimi izgubami v širokem frekvenčnem področju. Z razvojem nove generacije močnostnih Mn - Zn feritnih materialov in izpopolnjenih geometrijskih oblik jeder, ki omogočajo prenose večjih moči pa prodira uporaba feritnih jeder tudi na področje širokopotrošne in profesionalne elektronike (napajalniki, linijski transformatorji, impulzni transformatorji, vrstični transformatorji, impulzni napetostni pretvorniki, razne dušilke, varilne elektrode), torej na področje tako imenovane energetske elektronike. Z razvojem tranzistorja, močnostnega MOSFET in hitrega bipolarnega tranzistorja smo v zadnjih tridesetih letih doživeli takoimenovano drugo elektronsko revolucijo. Uporaba in razvoj MOSFET in 186 A. Znidaršič: Nova generacija Mn-Zn feritov za močnostne aplikacije_ Informacije MIDEM 23(1993)3, str. 186-189 hitrih bipolarnih tranzistorjev omogoča delovanje in uporabo različnih napetostnih pretvornikov pri frekvencah tudi nad 500 kHz. Delovanju pri tako visokih frekvencah pa morajo biti prilagojene tudi lastnosti močnostnih feritnih materialov in jeder. Feritni materiali uporabni v navedenih aplikacijah in širokem frekvenčnem področju od 300 kHz do 1 MHz se odlikujejo po visoki nasičenjski gostoti Bs, Curievi temperaturi Tc, notranji upornosti (p) in začetni permeabilnosti jlif, prilagojeni delovni (uporabni) frekvenci ter po nizkih temperaturno in frekvenčno odvisnih magnetnih izgubah (PA/), ki dosegajo minimalno vrednost pri delovni temperaturi magnetnega sklopa. Pri delovnih frekvencah Mn - Zn feritnega jedra f > 500 kHz je zelo pomembna temperaturna odvisnost magnetnih izgub. Magnetne izgube v splošnem naraščajo z rastočo frekvenco, kar povzroča segrevanje feritnega jedra in celotnega magnetnega sklopa. Zato je zelo pomembno, da imajo močnostni Mn - Zn feritni materiali visoko Curievo temperaturo Tc > 200°C, kar dosežemo s primerno kemijsko sestavo bogato s Fe203in Mn3C>4, ter visoko nasičenjsko gostoto pri delovni temperaturi Tdei = 90 do 105°C. Magnetne izgube ustreznega Mn -Znferita morajo imeti negativni temperaturni koeficient in doseči minimalno vrednost na področju delovne temperature magnetnega sklopa. Na magnetne izgube feritnega materiala vplivajo različni faktorji, kot so: kemijska sestava, valenca ionov, nečistoče, dodatki (Sn, Ti, Ca, Ta), pore in njihova porazdelitev ter pojavi na mejah med zrni. Zato je potrebno za zmanjšanje magnetnih izgub preprečiti vse navedene vplive, dobro obvladovati tehnološki proces izdelave feritnih jeder, posebno pripravo feritnega prahu in sintranje. Feriti z nizkimi magnetnimi izgubami so zaželeni skoraj v vseh aplikacijah, izjema je le absorbcija elektromagnetnih valov v področju resonančnih frekvenc. Pri feritih z visoko nasičenjsko gostoto in nizko magnetokristalno anizotropijo, dosegamo sicer visoke permeabilnosti, vendar pa nizke specifične upornosti zaradi prisotnosti Fe2+in takoimenovanega Hooping efekta Fe2+ Fe3++ 1e", ki zvišujejo magnetne izgube zaradi vrtin-častih tokov. Hooping efekt začne postopno naraščati, ko prekoračimo delovno frekvenco f > 100 kHz. Dušenje omenjenega efekta je možno z zvišanjem specifične upornosti, kar dosežemo z dopiranjem različnih malih dodatkov (npr. Ti4+). Ti zasedejo v kristalni rešetki B mesta v bližini Fe iona in tako preprečijo gibanje elektronov med Fe2+ in Fe3+. Druga možnost dušenja omenjenega efekta ter s tem zmanjševanja magnetnih izgub, kot posledica vrtinčastih tokov, je tvorba izolacijskega filma na mejah med zrni, kar dosežemo z dopiranjem različnih malih dodatkov (npr. Ca2+, Si2+). Kemijska sestava samih zrn se pri tem ne spreminja, dopanti se koncentrirajo na mejah med zrni, kar omogoča ohranjanje nizkih magnetnih izgub feritnega materiala. Tretja možnost zvišanja specifične upornosti in s tem zmanjšanja magnetnih izgub, je pravilen razvoj mikrostrukture končnega feritnega materiala, na katero vplivamo predvsem s fazo sintranja. Če je mikrostruktu-ra nehomogena in se kemijska sestava v posameznih zrnih spreminja je distribucija magnetnega pretoka in permeabilnosti v zrnih neenakomerna. Ker razmerje med gostoto magnetnega pretoka B in močnostnimi izgubami ni linearno, povzroči nehomogenost magnetnega pretoka, zvišanje magnetnih izgub v samem feritu. Zato pri pripravi močnostnih feritnih materialov uporabnih pri višjih frekvencah uporabljamo zelo čiste vhodne surovine, rast zrn pri sintranju pa zaviramo z uvajanjem raznih dodatkov (npr. Ta5+, Sn4+). TIPIČNE MAGNETNE LASTNOSTI Močnostni Mn - Zn feriti so poleg trajnih keramičnih magnetnih materialov, komercialno najuspešnejše področje, s trendom rasti cca. 10 -15 % na leto. Potrebe po prenosu večjih moči ob istočasnih zahtevah po mini-aturizaciji pa usmerjajo raziskave v smeri razvoja novih materialov, novih geometrijsko prilagojenih oblik, kakor tudi v smeri izboljšave že obstoječih feritnih materialov. Tudi v Feritih, edinem proizvajalcu feritnih materialov na področju Slovenije, se zavedamo, da brez sledenja in prilagajanja hitrim tehnološkim dosežkom, ki jih vsak dan doživljamo na področju širokopotrošne in profesionalne elektronike ni mogoče dolgo ostati na zahtevnih trgih razvitega sveta, kamor smo zaradi majhnosti našega tržišča prisiljeni izvažati cca. 80 % celotnega proizvodnega programa. Zaprtost in skope informacije na področju tržno zanimivih elementov za elektroniko nas sili, da veliko pozornost posvečamo lastni razvojni dejavnosti, v tesnem sodelovanju z IJS - K 5. Plod skupnega razvojnega dela mešanega tirna R.O. - Feriti in IJS - K 5 je tudi razvoj in vpeljava redne proizvodnje nove generacije močnostnih Mn - Zn feritov, s katerimi smo se izenačili z materiali, ki jih proizvajata renomirana proizvajalca Siemens in Philips. 160 i I 4-------1---—---i------»o 40 tO JO 100 1 20 (C) Diagram 1: Začetna permeabilnost in W izgube v odvisnosti od temperature (45G, N67) 187 Informacije MIDEM 23(1993)3, str. 186-189 A. 2nidaršič: Nova generacija Mn-Zn feritov za _močnostne aplikacije Tabela 1.- Močnostni Mn - Zn ferit uporaben v frekvenčnem področju do 300 kHz Material Hi P/V (mW/cm3) 25°C f= 100 kHz, 100°C B-0.1T 25°C B(mT) 100°C 45G - Iskra 2300 <170 < 110 ž 500 >320 N67 - Siemens 2300 < 160 < 100 >510 >320 3C85 - Philips 2000 < 230 < 165 >500 >330 Tabela 3.- Močnostni Mn - Zn ferit uporaben v frekvenčnem področju do 1 MHz Material m P/V (mW/cm3) 25°C f» 500 kHz, 100°C B = 0.1 T 25°C B(mT) 100°C 65G - Iskra 2000 <180 <; 190 >490 >320 N49 - Siemens 1400 < 150 < 170 S 510 S 320 3F4 - Philips 2000 < 175 < 180 ž 500 £330 Diagram 2: Začetna permeabilnost in W izgube v odvisnosti od temperature (35G, N87) Tabela 2.- Močnostni Mn - Zn ferit uporaben v frekvenčnem področju do 500 kHz ZAKLJUČEK Recesija, ki je zajela svetovni trg elektronske industrije v letih 1991, 1992 in se v določeni meri prenesla tudi v leto 1993, je močno vplivala na strukturo povpraševanja po feritnih materialih. Tako imenovan klasičen program feritnih materialov, ki je v preteklem obdobju obvladoval predvsem področje zabavne elektronike in različne telekomunikacijske sisteme, zaradi zasičenosti, velike ponudbe na svetovnem trgu in spremembe tehnologije izdelave, izgublja svoj prvotni namen. Glede na trende, ki se pojavljajo na področju elektronske industrije v smeri video teleinformatike, prenosne telefonije, satelitskih telekomunikacij, zabavne elektronike (HDTV) in napajalnikov različnih oblik in dimenzij, se spreminjatudi struktura tržno zanimivih Mn-Zn feritnih materialov. Najvišjo stopnjo rasti predstavljajo visokofrekvenčni močnostni Mn-Znferiti, ki pokrivajo frekvenčno področje od 300 kHz do 1MHz, vgrajeni v različnih napetostnih pretvornikih in napajalnikih, med katerimi so prav gotovo najpomembnejši SMPS (Switch Mode Power Supplies), ki pomenijo pravo revolucijo v omenjenem razvoju. Razvoj omenjenih napajalnikov sovpada z razvojem hitrih bipolarnih tranzistorjev, ki usmerjene signale na vhodu spremenijo v pulze visokih frekvenc, ter jih nato s feritnimi transformatorji transformiramo na zahtevano izhodno napetost. Material Hi P/V (mW/cm3) 25°C i =500 kHz, 100°C B = 0.1 T 25°C B (m T) 100°C 35G - Iskra 2000 <300 < 230 >500 >320 N87 - Siemens 2300 < 290 < 240 >510 >320 3F3 - Philips 2000 < 225 < 230 >500 >330 Diagram 3: Začetna permeabilnost in W izgube v odvisnosti od temperature (65G, N49) Z razvojem nove generacije močnostnih Mn - Zn feritov, smo razširili svoj program tržno zanimivih visokokvalite-tnih Mn - Zn feritnih materialov ter se po lastnostih izenačili s Siemensom in Philipsom, ki sta trenutno edina evropska proizvajalca omenjenih kvalitet. Zaradi hitrih sprememb, ki jih vsak dan doživljamo na področju elektronike, usmerjamo svoje nadaljne razvojne akcije skladno s trendi svetovnih proizvajalcev, v razvoj višje frekvenčnih Mn-Zn feritov, ki bodo vgrajeni v različnih visokonapetostnih transformatorjih v frekvenčnem področju do 1.5 MHz ter novo kvaliteto visokoper-meabilnih Mn-Zn feritov (ni = 15000), ki bodo vgrajeni v različnih transformatorjih, induktorjih ter visokoselektiv-nih filtrih na področju profesionalne, prenosne in zabavne elektronike ter telefonije, zaradi prodora minia-turizacije in prehoda iz analognih na digitalne telefonske sisteme. A. Znidaršič: Nova generacija Mn-Zn feritov za močnostne aplikacije_ Informacije MIDEM 23(1993)3, str. 186-189 ZAHVALA Na koncu bi se želel zahvaliti mag. Marjeti Limpel in prof. dr. Mihu Drofeniku za strokovno pomoč ter Ministrstvu za znanost in tehnologijo, ki je z velikim razumevanjem finančno podprlo razvoj omenjenih materialov. REFERENCE: /1/ A. ŽNIDARŠIČ: Vpliv Sn^na Mn-Zn ferite za močnostne aplikacije - SD 91, Portorož /2/A. ŽNIDARŠIČ, M. LIMPEL, G. DRAŽIČ, M. DROFENIK Investigation of power ferrites - ECERS second conference - Augsburg 1991 - ZRN /3/A. ŽNIDARŠIČ, M. LIMPEL, G. DRAŽIČ, M. DROFENIK Influence of Ta2O50n microstructure in low loss power ferrites - Ml EL SD 92, Portorož /4/A. ŽNIDARŠIČ, M. LIMPEL, M. DROFENIK: Microstructure control in low loss power ferrites - ICF 6 1992 - Tokyo, Japonska /5/A. ŽNIDARŠIČ: Mn-Zn feriti za močnostne aplikacije Prvo slovensko posvetovanje - ELEKTRIČNE NAPRAVE - SPEN 92, Maribor /6/A. ŽNIDARŠIČ, M. LIMPEL, M. DROFENIK: Patentna prijava 9300259 - Urad Republike Slovenije za varstvo indust. lastnine - Kemijska sestava, tehnološki in proizvodni postopek priprave močnostnih Mn-Zn feritov, uporabnih v frekvenčnem področju od 16 kHz do 1 MHz Andrej ŽNIDARŠIČ dipl.ing. ISKRA FERITI d.o.o., Stegne 29, 61000 LJUBLJANA Prispelo: 19.07.93 Sprejeto: 03.09.93 189 Informacije MIDEM 23(1993)3, Ljubljana_;_UDK 621,3:(53+54+621 +66), ISSN0352-9045 RAZISKAVE SEGREGACIJE ANTIMONA NA POVRŠINI NEORIENTIRANE ELEKTRO PLOČEVINE Z METODO AES M. Jenko, F. Vodopivec, B. Praček, M. Godec, D. Ste i ne r KLJUČNE BESEDE: pločevina elektro, pločevina silicijeva, jekla silicijeva, pločevina elektro neorientirana, lastnosti magnetne, izgube v jedru, termodinamika segregacije, antimon, difuzija antimona, rekristalizacija, orientacija zrn kristalografska POVZETEK: Segregacijo antimona na površini neorientirane elektro pločevine smo raziskali z eksperimentalno metodo osnovano na spektroskopiji Augerjevih elektronov, ki smo jo razvili v ta namen. V temperaturnem področju od 500 do 850°C smo določili kinetiko rasti segregirane plasti antimona. Ocenili smo difuzijski koeficient antimona v siliciranem feritu in izračunali aktivacijsko energijo difuzije. AES Investigation of Surface Segregation of Antimony in Non Orie ntGcl El6cti*ic al Sheets KEY WORDS: electro sheet, silicon sheet, silicon steels, nonoriented electric sheet, magnetic properties, core losses, segregation thermodinamics, antimony, antimony diffusion, recrystallization, crystalographic grain orientation EXTENDED ABSTRACT: The segregation of antimony on the surface and interfaces of iron-base alloys is interesting from different point of view and has been discussed in several papers. It is well known that several elements act as severely embrittling impurities in steel, among them antimony, and that they strongly segregate to grain boundaries of body centered cubic iron-base alloys. A beneficial effect of a small amount of antimony 0.03 - 0.1 wt% Sb in silicon electrical steels on the recrystalization behaviour and on energy losses was also found (1-10). It has been recognized that the small addition of Sb results in substantial texture Improvement in non oriented and oriented silicon steels. The possible explanation of this effect is that antimony being a surface active element, segregates on the surface and grain boundaries and affects the recrystalization behaviour producing an increase of the number of ferrite grains with soft magnetic lattice space orientation in the sheet plane. It Is suggested that the nucleation of grains with (111) orientation occurs in the vicinity of the original hot band grain boundary (13) and antimony might be responsible for retarding the nucleation rate of the (111) orientation. The aim of this work was to determine the kinetics of surface antimony segregation in Fe-Si alloy dopped with antimony using an AES surface analytical method (20). Such alloy of Fe-Si with approximatly 2 %Si is widely used as nonoriented silicon electrical sheet, where grain orientation improves magnetic properties (1-6). Kinetics of surface segregation of antimony in Fe-Si alloy in the temperature range from 500 to 850°C was measured using a new developed experimental method based on Auger Electron Spectroscopy. The amount of segregated antimony on the surface of transformer steel increased in temperature range from 600 to 700°C. The saturated layer thickness of 0.3 nm was estimated with AES depth profile analysis, which correspond to the calculated value of one Sb monolayer. At the temperatures T > 750°C a diminution of the amount of segregated antimony was measured, probably provoked by surface evaporation process or connected with the phenomenon of maximum of reversible segregation (11-15). in the temperature range from 650 to 750°C only Sb segregation was measured. From the surface segregation kinetics and its temperature dependence in the temperature range from 650 to 750°C, the diffusion coefficient and activation energy of Sb diffusion in bulk of 260 kJ mol"1 were determined in good agreement with data of Nishida (25). On the basis of obtained results we assume that Sb segregation could decrease the surface energy of grains emerging to the surface and through it could affect also the kinetics of grains growth. The investigation of recrystallized grain growth in silicon electrical sheet shows that antimony grain boundary segregation hinders the formation of recrystallized nuclea in the temperature range from 500 to 700°C (16,17,26) and it is supposed that the surface segregation affects the recrystallization by decrease of surface energy of soft magnetic grains, and their growth to the final texture and through It decreases the core loss. 1. UVOD Elektro pločevina je ključni material za generiranje in transformacijo električne energije. Mehko magnetni materiali se v obliki lamel uporabljajo za jedra električnih, vrtečih se strojev, transformatorjev in naprav. V teh se spreminja smer magnetnega polja v odvisnosti od frekvence izmeničnega toka. Za spremembo smeri magnetenja je potrebna energija, ki se izraža v obliki vatnih izgub na kilogram teže pločevine (VV/kg) pri določeni magnetilnosti (1). Vatne izgube so torej energija, ki je potrebna za preusmerjanje elementarnih magnetnih domen z zasukom mej med njimi (Blochove stene) in za pokrivanje izgubnih tokov. Na vatne izgube vplivajo naslednji faktorji: kemijska sestava, nečistoče, napetosti, velikost zrn, orientacija zrn, debelina lamel in stanje površine (1,2). 190 M. Jenko, F. Vodopivec, B. Praček, et al.: Raziskave segregacije antimona na površini neorientirane elektro... Informacije MIDEM 23(1993)3, str. 190-195 Med ekonomsko pomembne mehko magnetne materiale sodijo silicijeva jekla, ki jih uporabljamo v proizvodnji neorientiranih in orientiranih elektro pločevin (1-6). Neorientirana, oz. neteksturirana elektro pločevina, di-namo pločevina, mora biti izotropna, da so vatne izgube neodvisne od smeri pod katero se glede na smer valjanja iz nje izrežejo lamele za magnetna jedra. Orientirane elektro pločevine pa morajo imeti tako teksturo, da so v ravnini valjanja le kristalna zrna z lego (110) ali (100), kristalna smer/001/ pa se ujema s smerjo valjanja. Te vrste pločevine, imenovane tudi trafo pločevine, imajo nižje vatne izgube in iz njih izdelujejo posebno oblikovana magnetna jedra za transformatorje (1-6). Naraščajoči energijski stroški so privedli do zahteve po energijsko zmogljivejših motorjih in transformatorjih ter do vedno novih raziskav in razvoja jekel za elektro pločevine. Elektromagnetne lastnosti, ki jih zahtevamo od elektro pločevin so: visoka magnetilnost, visoka per-meabilnost In nizke vatne izgube ob čim nižjih proizvodnih stroških (1-6). Znano je, da majhni dodatki antimona (0.03% - 0.1 % Sb) v silicijeva jekla ugodno vplivajo na nastanek teksture, v neorientiranih elektro pločevinah in zmanjšajo vatne izgube (8- 16). Antimon je površinsko aktiven element, ki segregira po mejah zrn in po prostih površinah. Segregacija je v metalurgiji uveljavljen izraz za adsorbcijo. Na rekristaii-zacijo vpliva s tem, da pospešuje rast rekristaliziranih zrn z magnetno mehko lego blizu ploskve (100), oz. zavira rast rekristaliziranih zrn z magnetno trdo lego blizu ploskve (111) v ravnini pločevine, slika 1. t.e m G 0 1 OS z 0A 0 0 16 32 ii 64 80 H(kA/m) Slika 1: Magnetilne krivulje za monokristal prostorsko centriranega železa v odvisnosti od kristalografske smeri (1), Kinetika segregacije je odvisna od koncentracije segre-girajočega elementa v masivnem materialu in od difuzije. Grabkejeva skupina je raziskala binarne sisteme Fe-C, Fe-Si, Fe-AI, Fe-P, Fe-S, Fe- Sn, Fe-Sb z name- nom, da bi določili ravnotežno segregacljo in njihovo medsebojno delovanje z različnimi elementi (23). Komercialna jekla so kompleksni sistemi in za ocenitev segregacije v njih je potrebno raziskati vsako jeklo posebej (14). Segregacijo antimona na površini neorientirane elektro pločevine smo študirali v ultra visokem vakuumu v temperaturnem področju od 500 do 850°C. Kinetiko rasti segregirane plasti na površini neorientirane elektro pločevine smo zasledovali z metodo AES, z direktnimi meritvami; to je z zasledovanjem časovnega poteka spremembe razmerja intenzitet vrhov Augerjevih elektronov antimona in železa Isb/lpe- Kinetiko segregacij smo zasledovali na površini, ki je bila predhodno očiščena z ionskim jedkanjem. Koncentracijo antimona, ki je segregirai na površino smo določili v odvisnosti od temperature in od koncentracije v masivnem materialu. Iz kinetike segregacije Sb na površini smo ocenili difuzijski koeficient in aktivacijsko energijo difuzije antimona v siliciranem feritu. 2. IZHODIŠČE RAZISKAVE Študij segregacije elementov po mejah zrn, po faznih mejah in po prostih površinah je bil omogočen šele z razvojem modernih, občutljivih metod za karakterizacijo trdnih površin. Legirni elementi in elementi nečistoč segregirajo v posameznih fazah proizvodnega procesa in povzročajo različne transformacije v trdnem. Nekateri elementi selektivno vplivajo na procese, ki se začenjajo na površini kot so adsorbcija, oksidacija, rekristalizacija itd.; krhkost materiala, sintranje, lezenje itd., pa so v direktni povezavi s sestavo na mejnih površinah (2,20,21). V zadnjem desetletju je bila s tega področja objavljena cela vrsta del, v katerih avtorji študirajo segregacije elementov, ki se v zelo nizkih koncentracijah nahajajo v jeklih in njih vpliv na kvaliteto končnega izdelka (2,11-17). O koristnem vplivu Sb v silicijevih jeklih za neorientirano elektro pločevino na razvoj teksture je poročalo več avtorjev (3-17). Študij segregacij na površini elektro pločevine je v slovenskem prostoru pogojeval razvoj nove eksperimentalne metode, ki temelji na metodi AES (11-14). Omogoča raziskave v vakuumski posodi spektrometra Augerjevih elektronov v UVV pri temperaturah do 850°C. Cilj naših raziskav je razumevanje segregacije antimona na površini neorientirane elektro pločevine in njenega vpliva na razvoj teksture, ki je v neposredni povezavi z vatnimi izgubami, ki so osnovno merilo za kakovost elektro pločevine. 191 Informacije MIDEM 23(1993)3, str. 190-195 M. Jenko, F. Vodopivec, B. Praček, et al.: Raziskave segregacije antimona na površini neorientirane elektro... 3. EKSPERIMENTALNO DELO Jeklo za neorientirano elektro pločevino je bilo izdelano s taljenjem v laboratorijski vakuumski indukcijski peč na Inštitutu za kovinske materiale in tehnologije. Ulito je bilo v ingote, ki so bili vroče izvaljani v trak debeline 2,5 mm. Ta je bil nato hladno izvaljan do debeline 1,2mm in po vmesnem žarjenju za razogljičenje in rekristalizacijo, izvaljan do končne debeline 0.5mm. Študij segregacije antimona na površini neorientirane elektro pločevine, je potekal v dodatno opremljenem spektrometru Augerjevih elektronov PHI, Model SAM 545 A. Vzorce iz jekla, s kemijsko sestavo: 1,89 % silicija, 0,5 % aluminija, 0,15 % mangana 0,003 % ogljika, 0,011 % žvepla, 0,016 % fosforja in 0,052 % antimona, dimenzij 30 x 1,5 x 0,1 mm, smo elektro uporovno segrevali. Debelejših vzorcev ne moremo uporabiti zaradi omejitev, ki nastopijo pri elektro uporovnem segrevanju vzorca v ultra visokem vakuumu. Temperaturo smo kontrolirali s termočlenom Fe-CuNi, premera 0,1 mm, ki smo ga točkovno privarili na zadnjo stran vzorca v neposredno bližino analiznega mesta. Fe(LM2,3V) pri kinetičnih energijah 454 eV za Sb in 651 eV za Fe. Kinetika segregacije antimona na površini neorientirane elektro pločevine pri konstantnih temperaturah 550, 650, 700, 750, 800 in 850°C je prikazana na sliki 2. 20 30 40 50 60 Čas žarjenja, min Slika 2: Kinetika segregacije antimona na površini neorientirane elektro pločevine pri temperaturah 650, 700, 750, 800 in 85CPC. Površino vzorca smo pred segrevanjem v vakuumski posodi spektrometra očistili z ionskim jedkanjem med cikličnim segrevanjem. Na ta način smo lahko, na površini, odstranili vse nečistoče razen ogljika. V temperaturnem področju od 300 do 500°C segregira na površini ogljik, ki se pri višjih temperaturah raztaplja v feritu. S profilno AES analizo smo ocenili debelino segregirane plasti antimona na površini vzorca (19,21). Hitrost jedkanja antimona smo ocenili s primerjavo hitrosti jedkanja tanke Sb plasti znane debeline (21). Po končanem eksperimentu smo površino vzorca očistili z Ar+ ioni in s ponovnim segrevanjem znova zasledovali nastanek segregacije na površini. Tako smo lahko brez poseganja v vakuumsko posodo spektrometra en vzorec uporabili za več poskusov. Vsebnost antimona v neorientirani elektro pločevini, to je v masivnem materialu je 0,02 at.%, kar je pod mejo občutljivosti AES metode. Rast segregirane plasti antimona smo z metodo AES lahko zasledovali šele pri procesu segrevanja, pri temperaturah T>600°C. Anti-mon prične na površini neorientirane elektro pločevine segregirati z zaznavno hitrostjo šele pri 600°C in doseže maksimalno vrednost pri 700°C, s koncentracijo okrog 15 at.% Sb. Pri višjih temperaturah (T>750°C) je debelina segregirane plasti antimona nekoliko nižja, kar si lahko razlagamo s pojavom maksimuma reverzibilne segregacije, oz. z odparevanjem antimona, ki ima visok parni tlak; slika 3. Kinetika segregacije je odvisna od koncentracije segre-girajočega elementa v masivnem materialu in od stopnje difuzije. AES analize so bile izvedene s statičnim elektronskim curkom 3keV / 1(iA, premera 45 n.m pri vpadnem kotu 30°.lonsko jedkanje je potekalo z Ar+ioni, energije 1 keV in 3 keV , pri gostoti ionskega toka 0,138Am , merjeni pri vpadnem kotu 47°. Občutljivost AES metode je 0,1 at.%, relativna natančnost pa 0,5%. 4. REZULTATI Kinetiko segregacije antimona na površini vzorca smo določili z direktnimi meritvami, to je z zasledovanjem časovnega poteka spremembe razmerja intenzitet prehodov Augerjevih elektronov Sb(M4,5N4,5N4,5) in S profilno AES analizo smo ocenili debelino segregirane plasti antimona na površini pločevine, ki je nastala po 30 minutah žarjenja na700°C. Ocenjena vrednost debeline segregirane plasti Sb je 0.3 nm, kar je enako izračunani vrednosti debeline za 1 monoplast antimona. Debelino ene monoplasti antimona smo izračunali s pomočjo atomske mase in gostote d=(M/ Na)1/3, pri čemer je: d-poprečna debelina monoplasti, M- molska ali atomska masa - gostota snovi in Na - Avogadrovo število (18). Hitrost jedkanja antimona smo ocenili z jedkanjem tanke naparjene Sb plasti znane debeline (11). Iz kinetike segregirane plasti antimona na površini neorientirane elektro pločevine in njene temperaturne odvisnosti smo v temperaturnem intervalu 650-750°C z uporabo Cranckove enačbe (22) Cs= 2cb(-^)1/2, kjer 192 M. Jenko, F. Vodopivec, B. Praček, et al.: Raziskave segregacije antimona na površini neorientirane elektro... Informacije MIDEM 23(1993)3, str. 190-195 Fe Slika 3: AES spektri površine neorientirane elektro pločevine z Augerjevimi vrhovi Fe (598eV, 651 eVin 703 eV), Sb (454 eV), C(272 eV), 0(510 eV), P(120 eV), S(152 eV), Al(1396 eV) in Si(1616eV) v segregiraniplasti na površini pločevine po 20 minutah žarjenja pri temperaturi 55CP C, po 60 minutah žarjenja pri 650, 700, 750 in 80(fC ter po 10 minutah pri temperaturi 85(fC. pomeni cs, Cb- koncentraciji antimona na površini, oz. v masivnem materialu, D-difuzijski koeficient antimona v masivnem materialu in t- čas; ocenili difuzijski koeficient in aktivacijsko energijo difuzije. Aktivacijska energija difuzije antimona v siliciranem feri-tu je enaka 260 kJ/mol, frekvencijski faktor D0 smo izračunali iz enačbe, ki jo podaja Nishida (25): In D0 = 9.3x10"5Q-25.9 Antimon segregira na površini neorientirane elektro pločevine v temperaturnem področju v katerem poteka tudi proces rekristalizacije, ki je ključen pri izdelavi le-te. Torej lahko vpliva na tvorbo teksture in s tem posredno na zmanjšanje izgub. Raziskali smo vpliv antimona na rast rekristaliziranih zrn tako, da smo raziskali dve jekli iz enakih osnovnih surovin od tega je bilo eno mikrole-girano z antimonom. V temperaturnem področju od 700 Slika 4: Odvisnost med trajanjem žarjenja in velikostjo zrn za jekla z in brez antimona do 800°C smo določili velikost rekristaliziranih zrn v obeh preiskovanih jeklih, slika 4. Iz rezultatov te raziskave je mogoče sklepati, da je v jeklu z antimonom nastanek rekristalizacijskih kali počasnejši kot v jeklu brez antimona. Zato so zrna po končani rekristalizaciji večja v jeklu z kot v jeklu brez antimona, kar si razlagamo z dejstvom, da je v prvem primeru mogoča dalj časa rast kali v deformiranem matriksu (16, 17, 26). Z uporabo jedkala in postopka opisanega v referenci 27 smo dobili jedkalne figure, katerim smo s SEM posnetki v vrstičnem mikroskopu določili približno kristalografsko orientacijo zrn glede na smer valjanja v vzorcih jekel z in brez antimona, po žarjenju za rekristalizacijo, slika 5. Iz slike 5 je razvidno, da je gostota zrn z magnetno mehko lego blizu ploskve (100) veliko večja v jeklu mikrolegiranim z antimonom (b), kot v nelegiranem jeklu (a), kar potrjuje hipotezo ugodnega vpliva mikrolegiranja jekel za neorientirano elektro pločevino z antimonom. 193 Informacije MIDEM 23(1993)3, str. 190-195 M. Jenko, F. Vodopivec, B. Praček, et al.: Raziskave segregacije antimona na površini neorientirane elektro... •22/2 1i 13 r 'o X o 2 ^ o j „.--""i! 0 • • "h \ 10 i 1_ 0J 0 , r0 2 o"! 0 —" 7 Y" VI* — »p — n 1 0 0 j 0 m A 6 \ \ /A X o \ /»\ /a J y * /Ti \A 7Ji A 8 V' " \ L \ 'n A 1 \ ^ 0 1 - ji i 3 i-' ¡,,-"''1 0 . o J i i u 1 i-""' '»I o 0 i 5 1 0 001 110 111 -A /<■■■ o \ /1 r \ 4 1 3 \ o , 0 i 1 °,r o. \ °-4 4' , v-Ci-;";6 -i 5 -i 3 /'i <21 i 0 i i . »i 15, A u4i u ' »j U> .---'H 1 J' 11 ] 2 f 1 j o ; V -"t [ --"lil....- "i ~ !i: 3 4 ; 2 i 3 : 6 2 j J9 5 o j , "i-4 j X 1 5 j " m J? 5 j 3 7 j i 9 1.1 H 4 31 001 110 Slika 5: Pozicije jedkalnih figur kristalnih zrn glede na pravokotno projekcijo v standardnem trikotniku a) za nelegirano jeklo b) za jeklo legirano z antimonom Rezultati raziskave rasti rekristaliziranih zrn v silicijevih elektro pločevinah kažejo, da segregacija antimona po mejah zrn vpliva na nastanek rekristalizacijskih kali in predpostavljamo, da površinska segregacija vpliva na rekristalizacijo s tem, da zniža površinsko energijo zrn z nizkim indeksom prostorske orientacije in povzroča njihovo rast in formiranje teksture, kar ima za posledico znižanje vatnih izgub. 6. LITERATURA 1. G.Lyudkovski, P.K.Rastogi, M. Bala, Journal of Metals, 1 (1986) 18-26. 2. F.E.Luborsky, J.D.Livingstone, G.V.Chin: Magnetic properties of Metals and Alloys, Chpt. 26, str. 1698, v knjigi R.W.Cahn, P.Hassen Edts., Physical Metallurgy, North-Holand Physic, Amsterdam 1983. 3. E. D. Hondros, M. P. Seah, Interfacial and Surface Microchem-istry, Chpt. 13, str. 856, v knjigi R.W. Cahn, P.Haasen, Edts., Physical Metallurgy, North Holand Physics, Amsterdam 1983. 4. F.Vodopivec, F.Marlnšek, M.Torkar, F.Grešovnik.B.Praček: Poročilo Metalurškega inštituta 88-034/I, Ljubljana 1988. 5. G. Lyudkovski, P.K.Rastogi, Metali. Trans. A, 15A (1984) 257. 6. F .Vodopivec, F.Marinšek: Poročilo Metalurškega inštituta 89-039/I, Ljubljana 1989. 7. H. Shimanaka, Y.lto, K Matsumura, B.Fukuda, J.Mag.Mag.Mat. 26,57(1982). 8. P.Marko, A.Solyom, V.Frič, J.Mag.Mag.Mat. 41,74 (1984). 9. R.Bol Edt., Soft Magnetic Materials, Siemens, Heyden & Son LTD, London 1978. 10. F.Vodopivec,F. Marinšek, D.Gnidovec, B.Praček, M.Jenko, J.Mag.Mag.Mat. 97, 281 (1991). 11. M.Jenko, F.Vodopivec, B.Praček, Žel. zbor.25, 3(1991). 12. M.Jenko, F.Vodopivec, B.Praček, Kovine,zlitine, tehnologije, 26, 1-2(1992) 201-204 13. M.Jenko, F.Vodopivec, B.Praček, Vacuum 43 (1992) 449. 14. M.Jenko, F.Vodopivec, B.Praček, App. Surf. Sci. 70/71 (1993) 118-122 5. ZAKLJUČEK Določili smo kinetiko segregacije antimona na površini neorientirane elektro pločevine pri temperaturah 650, 700, 750 in 800°C. Antimon prične segregirati na površini neorientirane pločevine pri temperaturi 600°C z zaznavno hitrostjo. Debelina segregiran^plasti z naraščajočo temperaturo narašča in doseže maksimalno vrednost, približno 1 monoplast, pri 700°C. Z naraščajočo temperaturo (T > 750°C) debelina segre-girane plasti upada. 15. F.Vodopivec, M.Jenko, F.Marinšek, F.Grešovnik, Vacuum 43 (1992) 497. 16. F.Vodopivec, M.Jenko, A.Rodič, B.Breskvar, Poročila Inštituta za kovinske materiale in tehnologije, 92-14 in 92-54/I, Ljubljana 1992 17. F.Vodopivec, M.Jenko, A.Rodič, B.Breskvar, Kinetics of Recry-stallized Grain Growth in Fe-SI Steel, J.Magn.Magn.Mater (in print). 18. M.Wutz, A.Adam, W.Walcher, Theorie und Praxis der Vakuumtechnik, Friedr. Vieweg & Sohn, Wiesbaden 1982. 19. L.E.Davis, N.C. Mac Donald, P.W.Palmbereg, G.E.Riach, R.E.Weber, Handbook of Auger Electron Spectroscopy, Eden Prairie 1976. 20. S.Hofmann, Vacuum 40, 1/2, 9 (1990). 21. M.P.Seah, W.A. Dench, Surf.Interface Anal. 1,2 (1979). 22. J.Cranck, The Mathematics of diffusion, Claredon, Oxford 1967. 194 M. Jenko, F. Vodopivec, B. Praček, et al.: Raziskave segregacije antimona na površini neorientirane elektro... Informacije MIDEM 23(1993)3, str. 190-195 23. H.J.Grabke, ISIJ Intern. 129, 7,529 (1989). 24. G.Bruggeman, J.Roberts, J.Met. 20, 8, 54 (1968). 25. K.Nishida H.Murohashi, T.Yamamoto, Trans.Jpn.Inst.Met 20 (1979) 269. 26. D.Steiner, M.Jenko, F.Vodopivec, L.Kosec, M.Godec, Kovine, zlitine tehnologije 27, 1-2 (1993) v tisku. 27. M.Godec, M.Jenko, M.Lovrečič, F.Vodopivec, L.Kosec, Kovine, zlitine, tehnologije 27, 1-2 (1993) v tisku. Doc. dr. Monika Jenko Prof.dr.Franc Vodopivec Mag. Matjaž Godec Darja Steiner Inštitut za kovinske materiale in tehnologije, Lepi pot 11,61001 Ljubljana Borut Praček, dipl. ing. Inštitut za elektroniko in vakuumsko tehniko, Teslova 30, 61000 Ljubljana Prispelo: 27.08.93 Sprejeto: 14.09.93 195 ; UDK 621,3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 23(1993)3, Ljubljana PRINCIP SENZORJEV NA OSNOVI OSCILATORSKE DIFERENCIALNE STRUKTURE D.Donlagič, J.Koprivnikar, V.Matko KLJUČNE BESEDE: merjenje veličin, veličine neelektrične, senzorji oscilatorski, senzorji diferencialni, kristali kapacitivno odvisni, kristali kvarčni, DDM metode, metode direktne, metode digitalne, metode merilne, korekcija križna, modulacija impulzno širinska POVZETEK: V deluje analizirana diferencialna quartz-oscilatorska metoda, ki bazira na malem frekvenčnem premiku dveh oscilatorjev. Frekvenčni premik je dosežen s spremembo kapacitivnosti sonde v območju pod 1 pF, kjer je tudi uporabno območje metode. Metoda vsebuje kompenzacijo drifta zaradi napetostnih in temperaturnih vplivov. S pomočjo Direktne digitalne metode (DDM), ki zmanjša vpliv motenj, je izboljšana negotovost merilnih rezultatov. DDM metoda je linearna v območju delovanja in zagotavlja negotovost merilnih rezultatov pod 0.01%. Experimentalno je bilo raziskanih več primerov uporabe. Negotovost merjenja je za določeno merilno veličino odvisna od negotovosti ostalih vplivnih parametrov, ki so vključeni v izhodno senzorsko enačbo. Differential Oscillator Sensors KEY WORDS: measurement of quantities, non-electric quantities, oscilator sensors, diferential sensors, capacitive dependent crystaly, quartz crystals, direct digital methods, direct methods, digital methods, measuring methods, cross-correlation, pulse width modulation ABSTRACT: In the paper the use of a differential oscillator sensor structure in a capacitance sensor is presented. Investigation was focused on the operation analysis of the oscillator differential structure in which the oscillation frequencies of the oscillators are very close, and on the application analysis of capacitive dependent crystals. In addition, the excitation of the entire sensor with stochastic test signals has been analysed by the correlation deconvolution method which is also called the Direct Digital Method (DDM). When designing the capacitance sensor the problems regarding the source of stable oscillation, compensation of temperature, the influence of supply voltage, noise, and A/D and D/A conversion occur in the operation range under 1pF. The pulse width module, which forms pulse width modulated high frequency current pulses, is the proposed solution. With these pulses the capacitor in the integration element is charged or discharged. In this way we benefit from the fact that the capacitor's voltage increases linearly if it is charging by a constant current. As the charging is affected only by the current pulses which require an adequate current the disturbing noise signals do not affect the capacitor charging. Likewise, the pulse width module compensates the effects of temperature and voltage by means of modulation. The correlation determination of the measuring value is primarily important for the determination of end values. Two computer aided modes of operation are suggested: dynamic measurement control and the correlation determination of differences. 1. Uvod Prikazani senzorski izsledki nakazujejo široko uporabo pri merjenju fizikalnih, kemijskih in biotehniških veličin. Predstavljene so raziskave na področju novih senzorskih pristopov merjenja s poudarkom na uporabi kapacitivno odvisnih quartz kristalov in modificiran pristop v merilni tehniki v območju, kjer ni uporabna običajna instrumentacija. Prav tako je nakazana splošna rešitev merjenja majhnih sprememb neelektričnih veličin z veliko preciznostjo in ceneno izvedbo. Dobro znana metoda v metrologiji je kapacitivna mostična metoda. Slabost kapacitivne mostične metode je, da morata biti dostopni obe plošči kondenzatorja, drugače je metoda neuporabna. Prav tako je potrebno uravnovešanje mostiča, razmerje signal/šum pa mora biti veliko. Zaradi parazitnih kapacitivnosti metoda ni uporabna v femtofaradnem območju. Druga znana metoda je Millerjeva metoda, ki uporablja sorazmerno enostaven princip določanja malih kapacitivnih spre- memb pri 5pF - 20pF kondenzatorju prek fazne spremembe v serijskem resonančnem vezju /1/. Kondenzatorje vgrajen kot element, kateremu se spreminja kapa-citivnost v serijskem nihajnem krogu in je priključen na transistorsko emitorski sledilnik. Signalna informacija je dobljena prek opazovanja faznega premika, ki se odraža v kolektorskem toku transistorja, nastane pa zaradi spreminjanja kapacitivnosti. Millerjeva in kapacitivna mostična metoda predstavljata mejni metodi za merjenje malih kapacitivnosti. Slabost Millerjeve metode je v "RF sweep" signalu v območju do 40 MHz (zaradi resonančne frekvence) ter večji občutljivosti na fazni šum, kot pri mostični metodi (zaradi višjih frekvenc) in serijskim resonančnim vezjem, ki ga moramo sestaviti iz elementov L in C. Prednost Millerjeve metode je v tem, da je ena plošča kondenzatorja ozemljena. Pri obeh metodah je vprašljiva temperaturna kompenzacija in tudi vpliv motenj na celoten senzorski sistem (pri malih kapacitivnih spremembah). Metodi tudi ne zajemata korelacijske analize celotnega senzorja. Upoštevano ni 196 D. Oomlagič, J. Koprivnikar, V. Matko: Princip senzorjev Informacije MIDEM 23(1993)3, str.196-200 na osnovi oscilatorske diferencialne strukture_ zmanjšanje vplivov A/D in D/A pretvorbe, šum in nekali-bracija instrumentov. Quartz oscilator je poznan po svoji temperaturni odvisnosti . Njegova frekvenca je odvisna v manjšem območju tudi od napajalne napetosti. Kadar je uporabljen quartz kristal v senzorski oscilatorski strukturi, je običajen pristop vpliva na quartz kristal s: temperaturo, silo, tlakom, torzijo, itd., da dobimo spremembo oscilatorjeve frekvence. V tem primeru pa je quartz kristal uporabljen kot stabilni resonirajoči element, kateremu spreminjamo električni model z dodatno vezano serijsko kapacitiv-nostjo Cx (Slika 1). V primeru, ko kristalu spremenimo električni model, se njegove lastnosti stabilnega nihanja s tem ne spremenijo. 2. Senzorska struktura Diferencialna senzorska struktura je uporabljena zaradi kompenzacije motilnih vplivov. Prirejena je za delovanje z ali brez računalniške signalne analize. Analiza temelji na stohastlčnem vzbujanju senzorja, pri čemer je izhodni signal frekvenca ali analogni signal 121. Senzorska struktura, je prikazana na sliki 1. Za vzbujanje oscilatorjev 1 in 2 (prek varicap diod) sta uporabljena dva pseu-donaključna trinarna signala x1 (t) in x2(t) /3/. Frekvenci obeh oscilatorjev sta med 0.5 in 20 MHz. Napetostno frekvenčna odvisnost obeh oscilatorjev je v območju 6 ± 1 Vin je približno enaka. Pulzno širinski modul (PWM), daje na izhodu temperaturno in napetostno kompenziran signal/4/. Tokovni pulzi polnijo in praznijo kondenzator v LPF (nizko propustni filter). Izhodna napetost filtra linearno narašča ali pada odvisno od tokovne narave pulzov na njegovem vhodu. LPF filtrira tudi motnje. Če je na izhodu senzorja frekvenčni signal (f), je primeren za prenos na večje razdalje. Tako ni potreben dodatni f/u pretvornik. Z uporabo f/u pretvornika lahko zajemamo izhodni signal prek A/D predtvorbe. 1 x( t) a/d 1 y(0 a/d XI (0 *2<0 d/a d/a osel 1 df ->H0jc2 T_J"C* Slika 1: Senzorska struktura. -EHZH Signal x(t) je vsota dveh programsko določenih pseudo stohastičnih signalov xi(t) in X2(t) katerih srednja vrednost je enaka nič. 200 160 d f[K z 1 100 50 0 0 0.1 0.2 0.3 0.4 0.6 0.6 0.7 0.8 0.9 1 d C x (p F I Slika 2: Odvisnost spremembe frekvence od spremembe kapacitivnosti sonde brez vzbujevainih signalov. Senzorska sonda Cx je kapacitivna. Pritrjena je na aktivnem mehanskem delu in zaznava kapacitivne spremembe v področju pod 1 pF. Elektronsko vezje senzorja je nameščeno na razdalji 1cm od senzibilne sonde z 1cm dolgima žicama brez oklopa proti masi. S tema dvema žicama je dosežena boljša občutljivost, ker je manjša parazitna kapacitivnost proti masi. Rezultati na sliki 2 prikazujejo, da je sprememba frekvence proporcionalna spremembi kapacitivnosti v področju pod 1pF. 3. Kapacitivno odvisni quartz kristali Električni nadomestni model quartz kristala je prikazan na sliki 3. Vrednosti elementov pa so podane v tabeli 1. r l »~H i— -o £ Slika 3: Električni nadomestni model quartz kristala. Pri frekvencah 0.5-20 MHz je serijska kapacitivnost C s 0.01 pF (Slika 3, Tabela 1). S serijsko kapacitivnostjo Cx spreminjamo serijsko resonančno frekvenco oscilatorju 2 (Slika 1). V primeru merjenja nekaterih neelektričnih veličin (na primer malih volumnov) sta bila uporabljena dva 4MHz quartz kristala s stabilnostjo ± 3ppm v območju -5 do 55°C. TABELA 1. Podatki za quartz model pri različnih frekvencah. to R C L 1-5 kHz 5-50 kO 0.01 pF 104-105H 50-200 kHz 2-5 kQ 0.1 pF 10-100 H 150-800 kHz 0.5-10ki2 0.02 pF 1-10 H 0.5-20 MHz 2-2000 a 0.01 pF 10-100 mH 172 Informacije MIDEM 23(1993)3, str.196-200 D. Oomlagič, J. Koprivnikar, V. Matko: Princip senzorjev na osnovi oscilatorske diferencialne strukture 4. Direktna digitalna metoda (DDM) Negotovost merilnih rezultatov je izboljšana s pomočjo Direktne Digitalne Metode (DDM), ki zmanjša vpliv motenj. Konvolucijski integral (En. 1), predstavlja osnovo metode za izboljšanje preciznosti merilnih rezultatov. (1) y{ t) = \g(u)-x{t-u)-du -oc g(u) utežnostna funkcija x(t) vhodni napetostni signal y(t) izhodni napetostni signal Enačbo 1 vstavimo v križnokorelacijsko enačbo 2 in dobimo enačbo 3. dt (2) (3) t \ 1 i ^ r-.» T o 1 T « T o du (4) Drugi integral v enačbi 4 predstavlja avtokorelacijsko funkcijo signala x(t), kot je pokazano z enačbo 5. 1 T p—.(r- u) = lim — j- r) • x{t -u)-dt r->*> T o Sledi enačba 6: 00 1%). Pneumatski dajalniki delajo na principu šoba - odbojna ploščica, kjer ploščico predstavlja kar merjenec, ali pa je prek valjčnic ploščica povezana z merjencem. Za te dajalnike je značilno majhno linearno območje, ki ga nekoliko povečamo z uporabo dveh sistemov, primerjalnega in merilnega. Kapacitivni dajalniki so ponavadi različne izpeljanke ploščatega kondenzatorja. Iz enačbe: 206 D. Gradišnik, D. Oonlagič: Laserski merilnik debeline Informacije MIDEM 23(1993)3, str.206-211 s statistično obdelavo merilnih rezultatov___ C - kapacitivnost e - dielektrična konstanta N- število plošč kondenzatorja d - razdalja med ploščami kot merilno spremenljivko uporabimo razdaljo med ploščami d. Ena od plošč je kar merjenec. Slabe strani metode so občutljivost na motnje iz okolice pa tudi nelinearna odvisnost kapacitivnosti od razdalje (C = f(1/d)). Induktivni dajalniki kot merilniki razdalje se največ uporabljajo v izvedbi linearnega diferencialnega transformatorja (LVDT). Pri tem v enačbi za induktivnost: M. N2 S (1.2) L - induktivnost ravne tuljave |a - magnetna permeabilnost S - presek tuljave /- dolžina tuljave ponavadi spreminjamo permeabilnost s premikanjem položaja jedra. Ker je jedro prek ročice povezano z merilnimi valjčnicami, je tak način meritve stičen. Infra svetlobni merilniki temeljijo na resonančni ab-sorbciji žarkov v atomih, oz. molekulah merjenca. Valovna dolžina svetlobe mora ustrezati kemijski konstituciji merjenca, jakost absorbcije dovedene energije pa je odvisna od gostote merjenca. Če je ta konstantna lahko prek relacije: d = m Sa (1.3) d-debelina materiala m - masa materiala S - površina merjenja o - gostota materiala dobimo z umerjanjem debelino materiala direktno. Obstajata dve metodi: z odbojem in presvetiitvijo materiala. Meritve debelin z Rotgenskimi, a, p in 7 žarki prav tako temeljijo na absorbciji žarkov v materialu, ki je odvisna od gostote materiala. Ponavadi je sprejemnik ionizacijska komora, v kateri pri konstantni priključeni enosmerni napetosti, temperaturi in tlaku halogenega plina pride pod vplivom kratkovalovnega valovanja do ionizacije molekul plina in zato steče ionizacijski tok. Ta je odvisen od števila ionskih parov, število teh pa od števila radioaktivnih delcev, ki so prišli iz radioaktivnega izvora (Rontgenske cevi) skozi material do komore: l(d) = lo. e kd (1.4) l(d) - intenzivnost sevanja lo - intenzivnost sevanja brez merjenca k- koeficient absorbcije materiala (merjenca) d- debelina materiala Ta tok je velikosti 10"10 do 10"8 A in ga na viso-koohmskem uporu pretvorimo v napetostni signal. Kljub potrebni zagotovitvi varnosti pri upravljanju z izotopi in zavarovanju merilnega prostora ter obvezni ii-nearizaciji merilne krivulje, se ta merilni postopek med brezstičnimi največ uporablja. 2. Polprevodniški laser, triangularni senzor Lastnosti polprevodnikov lahko razdelimo v dve področji : elektronsko in optično. Elektronske lastnosti vključujejo koncentracijo prostih nosilcev, prevodnost in gibljivost elektronov, za optične pa je zelo pomemben zaporni pas, oz. njegova energijska širina, ki določa tudi valovno dolžino valovanja, ki ima dovolj energije za prestop med valenčnim in prevodnim pasom in obratno: 1240 -zap.pas : zap.pas (2.1) E - energijska širina zapornega pasu (eV) X - minimalna valovna dolžina (nm) Na PN spoju prihaja do rekombinacij in rekombinacijska energija se lahko sprošča kot toplota (pri Si), ali pa kot svetloba (GaAs). Pri LED imamo spontano emisijo, ki seva v vse smeri v širšem pasu, pri laserski diodi pa je emisija v smeri polprevodniške plasti in določene valovne dolžine. Do t.i. laserskega praga tudi laserska LfcD področje [spontana emisija) lasersko področje stimulirana emisija) Slika 2.1: Intenzivnost svetlobnega sevanja pod in nad pragom laserskega delovanja dioda dela v področju spontane emisije, kar vidimo na sliki 2.1: To je seveda zelo poenostavljen opis delovanja. Laserska dioda je sestavni del laserskega senzorja razdalje, ki ga sestavljajo še PSD (Position Sensitive Device) in 207 Informacije MIDEM 23(1993)3, str.206-211 D. Gradišnik, D. Oonlagič: Laserski merilnik debeline s statistično obdelavo merilnih rezultatov sistem leč. Ker izvor svetlobe, merjenec in PSD tvorijo trikotnik, kjer se v odvisnosti od oddaljenosti merjenca //////// merjenec pomik Slika 2.2: Namestitev izvora in ponora v senzorju razdalje D=konst. kot odboja spreminja, se ta razpored imenuje tudi trian-gularni (slika 2.2): Funkcija odvisnosti razdalje od merjenca in kota odboja je nelinearna: razdalja = i 2 . (1 — cos (ep)) /- razdalja med izvorom in ponorom žarka ep - kot odboja žarka od merjenca Izhodni signal je nato lineariziran in ponavadi dobimo na izhodu tokovni signal. Območje 4 - 20 mA pomeni tudi merilni obseg razdalje, tako da je razdalja = f(lizh). 3. Zasnova merilnika Dva, na nasprotnih straneh merjenca nameščena senzorja razdalje sestavljata merilno glavo. Princip je enostaven: če poznamo razdaljo med senzorjema, potem se debelina izračuna kot: debelina = D - ch - efe D - razdalja med senzorjema di - oddaljenost objekta od i - tega senzorja (3.1) Ker obravnavamo dinamično merjenje, kar pomeni, da se merilni objekt giblje mimo merilne glave, pride do merilnega pogreška zaradi časovnega zamika At med odčitkom prve in druge razdalje (slika 3.1). Vpliv tega pogrešeka pa je majhen, če je tipalni čas ustrezno izbran glede na pričakovano dinamiko spremembe debeline merjenega objekta. Klasična zasnova merilnika je taka, da ima vsak senzor mikro kontroler, ki daje analogni signal razdalje, oba pa sta priključena še na matematično enoto (kontroler), ki daje na izhodu vsoto, oz. razliko vhodnih signalov, ki jo potem uporabimo v regulacijski zanki. Če hočemo opravljati še statistične izračune, je potrebno signal prek Slika 3.1: Princip merjenja debeline z dvema diametralno nameščenima senzorjema serijskih kanalov ali pa prek A/D pretvorbe peljati na nadzorni računalnik. Odločili smo se, da namesto mikrokontrolerjev uporabimo kar PC računalnik industrijske izvedbe, saj novejši procesorji (Intel 80386, ...486) omogočajo dovolj hitre obdelave merilnih rezultatov. Za povezavo s senzorji in digitalnimi vhodi, oz. izhodi pa smo izbrali kar multifunkcijsko karto, ki ima 16 A/D, 1 D/A, 8 DI in 8 DO. Sam sistem se je že od začetka snoval za industrijsko okolje, tako da smo dodali še karto z relejskimi izhodi in opto ločitev za digitalne vhode. Merilnik je nameščen na okviru C oblike in ga na merilno pozicijo pomakne pneumatski ventil. Zaradi odstranitve prahu, oljnih emulzij in drugih nečistoč, so ohišja senzorjev oblikovana tako, da skozi reže piha zrak pod tlakom in tako tvori zračno zaveso. Ker lahko umazanija kljub temu prekrije površino senzorja, ima sam senzor digitalni izhod, ki javlja, če je odbiti žarek premajhne intenzivnosti. pozicija 2—£7«] Slika 3.2: Shematski prikaz merilnika debeline 208 D. Gradišnik, D. Oonlagič: Laserski merilnik debeline s statistično obdelavo merilnih rezultatov _ Informacije MIDEM 23(1993)3, str.206-211 Ko senzor pomaknemo v merilni položaj ali pa je v mirovnem položaju, opravimo kontrolo pozicije s končnimi stikali. Sistem skupaj z opisanimi elementi je predstavljen na sliki 3.2: 4. Izvedba merilnika Senzor razdalje, ki smo ga uporabili, ima referenčno merilno točko na razdalji 50mm ± 1mm in merilno območje ± 5mm z odstopanjem ± 0.01 mm. Valovna dolžina svetlobe je 790nm (nevidna) z močjo 5mW. Merilno območje (MO) merilnika je potem: MO = 2.10 mm - A (4.1) A - maksimani možni pomik (vibracija) merjenca v smeri merilnega snopa Senzor lahko meri oddaljenost predmetov, ki imajo primerno odbojno površino. Preizkusili smo meritve aluminija, iverice, nekaterih plastičnih materialov, papirja, pertinaksa,... tako da ocenjujemo, daje uporaben za vse veje industrije. Za izvajanje merjenja in algoritma je izbran tipalni čas 10 ms zaradi naslednjih razlogov: — časovna konstanta laserskega senzorja je 5 ms — frekvence, ki se pojavljajo na strojih, so < 50Hz — take tipalne čase srečujemo tudi pri merilnikih z drugimi vhodnimi senzorji. 4.1 Izvedba izhoda Debelino dobimo po nekoliko modificiranem postopku, saj se za izračunavanje uporablja le celoštevilčna aritmetika. Da dobimo kvazi 16- bitno število, najprej pomaknemo 12- bitno vrednost iz A/D pretvornika za 4 bite v levo, . Tako zmanjšamo pogrešek pri celoštevilčnem deljenju. Ker nas pri tem merilniku zanima podatek o debelini do razreda 10"2 mm, opravimo tudi množenje s faktorjem 100. Tako dobimo naslednjo odvisnost: razdalja ■ vhod « 4 + ofset naklon 100 (4.2) vhod- 12-bitna vrednost iz A/D pretvornika ofset, naklon - faktorja, ki ju dobimo po preslikavi iz toka v razdaljo. Ker je referenčna točka 50mm podana ± 1 mm, je potrebno senzor pred vgraditvijo umeriti in določiti ofset (slika 4.2) po enačbi: ofset = -3276.25 . U + 262067 (4.3) lVh - tokovni signal iz senzorja (mA) ofset 227994 222752 217510 51 mm 50mm 10.4 2130 34080 49mm 13.6 rnA 2786 bitov 44576 bitov Ker smo hoteli na ekranu opazovati kar največ dinamičnih podatkov, smo se odločili za uporabo semigra-fike, ki omogoča dovolj natančen prikaz, hkrati pa zaradi ohranitve delovanja v tekstualnem načinu pridobimo na hitrosti. Na ekranu lahko tako opazujemo naslednje dinamične podatke (slika 4.1): Slika 4.2: Ofset - korekcijska krivulja Merilno odstopanje uporabimo v regulacijski zanki avtomatske kontrole debeline (AGC). V konfiguracijsko datoteko lahko vpišemo faktor občutljivosti v V/mm. Slika 4.1: Dinamični podatki na zaslonu Vzdolžni profil merjenca se prikazuje v pomičnem časovnem diagramu in sicer vidimo 30 preteklih merjenj. Tak diagram uspešno nadomešča paneini instrument z ničlo na sredini. V posebnem oknu se prikazujejo alarmi in sporočila, ki nas obveščajo o stanju merilnika. Ta sporočila se shranjujejo tudi v pomični pomnilnik, tako da lahko na samostojnem zaslonu pregledamo 23 zadnjih sporočil. Histogram se izrisuje za 30 merilnih vrednosti. Področje izrisa je razdeljeno na dovoljeno (zelena) in na prekoračeno (rdeča barva) območje. Velikost dovoljenega območja se določi na osnovi vpisa tolerančnih mej pred pričetkom merjenja. Zaradi neproblematičnosti spominskega prostora in dovolj velike širine zapisa celoštevilčnih vrednosti razdalje, se kumulativno shranjujejo vse vrednosti debeline in se na koncu meritve izračuna 209 Informacije MIDEM 23(1993)3, str.206-211 D. Gradišnik, D. Oonlagič: Laserski merilnik debeline s statistično obdelavo merilnih rezultatov povprečni histogram za celotno meritev enostavno po enačbi za povprečno vrednost: n i=1 (4.4) x/ - posamezna meritev n - število vseh meritev Med samo meritvijo je možen takojšen preklop med histogramom in avtokorelacijo. Zakaj avtokorelacija? Idealno bi bilo, da je površina merjenca čim bliže zahtevani in da z merjenjem dobimo mimimalno odstopanje, oz. nek šumni signal minimalne amplitude. To pa pomeni, da bi naj šla vrednost avtokorelacijske funkcije proti 0. Zaradi različnih vzrokov (nelinearna regulacijska proga, zračnosti na obdelovalnem stroju) pa se nam lahko na površini pojavi vzorec, ki vsebuje tudi določene periodične signale. Prav te pa z avtokorelacijsko funkcijo dobro vidimo in jih potem po analizi vzroka odpravljamo. Avtokorelacijska funkcija je soda, tako da izračunamo le člene do n/2, zaradi dovolj spominskega prostora pa vhodni vektor kar podvojimo: vhi = vhi+n, V i < n (4.5) n - dolžina vhodnega vektorja saj s tem enačbo za izračun avtokorelacije močno poenostavimo: n 2' n izi = ]n/hi+j + i . vhj ¡ = i,j = i zaradi simetrije pa velja tudi: iZi = iZn - i - 1 4.2 Izvedba časovne baze (4.6) (4.7) Na A/D karti je programabilni timer, kjer lahko nastavimo različne načine proženja, oz. štetja. Izbran je način, ko se po vpisu števne konstante prične odštevanje in ko pride števec na nič, se na izhodu sproži signal za čas trajanja ene periode urinih pulzov. Princip postopka uporabljenega za dosego želenega tipalnega časa je predstavljen na sliki 4.3: Pretvorbi merilnih rezultatov opravimo z minimalnim časom pretvorbe, nato pa opravimo "slepo" pretvorbo, tako da je vsota vseh časov enaka tipalnemu času. Pri takem načinu je nujno da se algoritem opravi v krajšem času kot "slepa" pretvorba. Na koncu meritve se izriše v grafičnem načinu še celoten vzdolžni profil merjenca, v datoteko pa vpišejo odstopanja in kumulativni histogram, kar je pri sodobnem sledenju proizvodnje nujno (standard ISO 9000). 5. Zaključek S prototipom merilnika smo preskusili meritve debeline mnogih materialov. Posebej je potrebno biti pozoren pri umerjanju vsakega laserskega senzorja, da ne vnesemo v merilno verigo dodatnih pogreškov. Sistem, ki je zgrajen z opisanim senzorjem razdalje, ima uporabno območje merjenja 20 mm z odstopanjem ±3.10"2 mm. Pri tem je potrebno omejiti maksimalni odmik merjene površine z dodatnimi valjčnicami pred merilno glavo, ker maksimalni odmik od srednje pozicije pomeni zmanjšanje merilnega območja in povečuje dinamični pogrešek. Sistem je časovno stabilen in ne potrebuje zagonskega časa (delovna temperatura, drift parametrov), tako da je takoj po vklopu pripravljen za merjenje. Merilne rezulatate smo potrjevali na Al pločevini različnih debelin in na ivernih ploščah različnih debelin z brušeno in nebrušeno površino. Ker je možno priključiti več merilnih glav, ki so razporejene prečno, se ukvarjamo z določitvijo ustrezne interpolacijske funkcije in 3D izrisom celotne površine. Prečni profil je možno dobiti tudi z ustreznim pogonom (koračni elektromotor, proporcionalni ventil), ko merilno glavo prečno pomikamo 10 ms min ~mnr / A/D^iretvorba 2.kanal slepa pretvorba A/D pretvorba 1.kanal i izvajanje algoritma \ čitanje 2.kanala čitanje 1 .kanala Slika 4.3: Časovni prikaz zaporedja A/D pretvorb in izvajanja algoritma Laserski merilnik lahko v večini primerov uspešno zamenja izotopske merilnike, ki s sevanjem v okolico povzročajo dodatne probleme (shranjevanje izotopov, periodični pregledi osebja, zavarovanje merilnega mesta, dodatna signalizacija...). Z ustrezno analizo merilnega signala je možno dograditi diagnosticiranje napak na stroju (odprava zračnosti na ležajih, vodilih ...). Ker je možen vnos tehnologije direktno v merilnik (z disketo, serijska komunikacija), se merilnik enostavno vključuje v CIM ali pa povezuje z računalnikom na stroju. Zaradi načina zajemanja podatkov se merilno območje spremeni enostavno z zamenjavo senzorjev, ki merijo v drugem merilnem območju. 210 D. Gradišnik, D. Oonlagič: Laserski merilnik debeline s statistično obdelavo merilnih rezultatov _ Informacije MIDEM 23(1993)3, str.206-211 Merilnik je nastal v sodelovanju med TF Maribor, Inštitutom za avtomatiko in Impol TEHNIKA d.o.o. Prva vgradnja naj bi bila na vaijarni v DO IMPOL, Slovenska Bistrica /3/ Ray Butow, DIMENSIONAL INSPECTION, Februar 1993, Measurements & Control, str. 124-127 /4/A. Bauer, OPTISCHE DICKENMESSUNG, MAREC 1992, SENSOR REPORT, str. 20 - 22 6. Zahvala Za pomoč pri praktični izdelavi merilnika se zahvaljujem sodelavcu mag. Bojanu Marčiču in podjetju Impol TEHNIKA, d.o.o. 7. Literatura: /1/Thomas G.Beckwith, Roy D.Marangoni, MECHANICAL MEASUREMENTS, str.365 - 401, 1990 University of Pittsburgh /2/ Several authors, PROCESS INSTRUMENTS AND CONTROLS HANDBOOK, str. 9-5 do 9-18, 1974 Los Angeles /5/ FAG Radiometrie, INFORMATIONEN ZUM ANGEBOT, 1987 /6/J. Hecht, UNDERSTANDING LASERS, IEEE 1991 New York, Darjan Gradišnik, dipl. ing. Impol TEHNIKA d.o.o. Partizanska 38 Slovenska Bistrica prof. Dali Donlagič, dr.dipl.ing. Laboratorij za aplikacije v avtomatiki TF Maribor Prispelo: 29.07.93 Sprejeto: 03.09.993 211 Informacije MIDEM 23(1993)3, Ljubljana UPORABA POLPREVODNISKIH IN MIKROELEKTRONSKIH KOMPONENT ASICs - selecting the Optimum Solution Conrad F. Heberling ASICs can provide many advantages over SSI and MSI PCB designs. But there are different types of ASICs and finding the most favourable solution for your specific application is important in creating a new system on a chip. Standard Cells Standard cells are really circuit building blocks which have been previously designed, characterized and subsequently stored in a computer data-base. Gate arrays, standard cell and custom ICs comprise the three major types of application specific ICs. And all can improve system performance, increase reliability, reduce component count, reduce power consumption, lower manufacturing costs and provide protection against unauthorized copying. However, there are trade-offs in design flexibility, development span, development cost and production prices of each ASIC product. Gate Arrays Gate arrays have a fixed architecture which typically consists of predesigned rows of uncommitted logic gates separated by interconnect routing channels. For a given array size, these base layers are identical for all applications. The only difference from one application to the next is the metal interconnections between gates. Gate level interconnections are normally specified by gate array macro overlays (metal interconnect patterns) and these are conceptually similar to standard cells. Macros are superimposed on the gate arrays' base transistors to perform the logic function and afterwards the macros are connected using the arrays' fixed routing channels. Biggest advantage of gate arrays is short development time compared to standard cell circuits and cell-based custom circuits. Through using common base layers, gate array wafers can be partially fabricated prior to customization for a given use. When the logic is defined, metallization is all that need be carried out. To achieve fast development, trade-offs to take seriously are that the fixed architecture limits design flexibility and causes production costs to be higher than a functionally identical standard cell or custom IC. And, the design is restricted to digital elements only. Cells can range from simple digital circuit elements such as logic gates to more complex digital sub-systems such as ALU, UART, CPU, PLA, RAM and ROM memory cells. The cells can also include basic analogue circuit elements such as operation amplifiers and comparators, as well as the even more complicated analogue subsystems, such as ADCs and switched capacitor filters. Such cells come in a standard cell library and by selecting the appropriate cells and interconnecting them, a unique IC can be created. Because each standard cell IC requires a unique fabrication mask set, the development cost and time spans are higher than gate arrays. However, standard cell circuits offer significant advantages in unit pricing, design flexibility, circuit performance and analogue and digital functional capabilities. Compared with optimized full custom circuits, standard cell circuits offer lower development costs, reduced development time and a greater probability of first-time IC success. In exchange for these benefits, the production unit prices of a standard cell circuit are slightly higher than the prices of a comparable optimized custom circuit. Cell Based Custom Design Within custom design, cell based custom design has largely replaced full custom design, which requires that each transistor is individually designed and manually connected to the rest of the circuit. In a cell-based approach, only critical parts of the circuit are designed in a full custom mode for particular applications while a major part of the design consists of previously available standard cells. Advantage of cell-based custom is that optimum performance and minimum die sizes can realistically be achieved, while minimizing design risks, development 212 Informacije MIDEM 23(1993)3, Ljubljana costs and development time compared to a full custom circuit. By deciding on a cell-based ASIC, a system design engineer needs to pay for a state-of-the-art performance only where it is required. In other portions of the circuit, pre-designed standard cells are used to obtain the desired reductions in cost and development times. Silicon Compiler Circuits Silicon compiler circuits can be considered a separate type of ASIC but they actually represent a variation of cell-based custom circuits. A silicon compiler is an extremely sophisticated computer programme which can be used to create customized IC designs. By supplying a high-level circuit description and the necessary design specifications, a cell or even an entire chip can be created by a compiler programme. Thus, instead of drawing from a library of predesigned cells and creating the desired full custom cells, a silicon compiler can be used to create a type of cell-based IC. In evaluating specific ASIC choices, the trade-offs of each design alternative must be considered. Companies such as AMS offer experienced technical guidance to help the customer make that decision. And by establishing close working relationships with such experienced companies, there is also valuable advice to be had on system design, optimal ASIC implementation and CAE interface options. With this assistance, any experienced logic designer can subsequently create his own ASIC for the next generation of electronic system products. Dr. Conrad Heberling AMS Schloss Premstâtten A-8141 Unterpremstâtten Austria tel. (03136) 500-0 fax (03136) 52501 Cost/Volume for ASIC Technologies Gate Count (2-lnput NAND Equivalent) Annual Volume (in K-Units) 213 Informacije MIDEM 23(1993)3, Ljubljana_ ELEKTRONSKO VEZJE ZA MINI ČASOVNI ŠTEVEC J.Varl, J.Žmavc UVOD Mini časovni števci so nova smer v razvoju in izdelavi časovnih števcev s težnjo miniaturizacije in poseganja na nova področja štetja obratovalnih ur na zahtevnejših sistemih in napravah. Števci se priključijo vzporedno s tokokrogom in obratujejo samo, kadar je naprava vklopljena. Časovni števec je v bistvu kvarčna elektronska ura z elektromehanskim pretvornikom in decimalnim prikazom časa. Prikaz časa je izdelan v mehanski izvedbi števnih kolutov, podobno, kot pri števcih električne energije. Elektromehanski pretvornik je v osnovi števec električnih impulzov. Poganja ga elektronsko vezje, ki skrbi za napajanje, točnost in pravilno delovanje pretvornika. Osnova elektronskega vezja je čip s kvarčnim oscilatorjem.Skupaj generirata časovno zaporedje impulzov, s katerimi se napaja in krmili pretvornik. ELEKTRONSKO VEZJE V mini časovnem števcu je vgrajeno elektronsko vezje izdelano v SMD tehnologiji. Na vezje je bondiran čip EMZ 1447. Čip je naročniško vezje. Pri njegovem razvoju smo upoštevali zahtevane karakteristike delovanja časovnih števcev. Vezje v čipu je razdeljeno na oscila-torski del, delilno verigo, oblikovanje izhodnih impulzov in ojačevalno stopnjo. Oscilatorski del skupaj s kvarcem tvori samostojni oscilator frekvence 32768 Hz. Delilnik osnovne frekvence je dvajset stopenjski in na izhodu tvori zaporedje impulzov na 36 sek. širine 200 ms ali 1 sekunde, odvisno od izbire kontakta pri bondiranju. Na elektronskem vezju je poleg čipa še vgrajen napetostni regulator, končna stopnja ojačevalnika impulzov, kvarc s kondenzatorji za oscilator ter izbira dodatne funkcije vklop/izklop. Napetostni regulator ima nalogo vzdrževanje konstantne napetosti na vezju ne glede na predpisane različne vhodne napetosti ter posredovanje zadostne energije ojačevalniku za premik tuljavice v stalnem magnetnem polju. Dodatna izbira vklop/izklop se uporablja samo v posebnih primerih. V normalni vezavi se ta funkcija izvaja ob vklopu/izkiopu naprave v katero je mini števec vgrajen. Tehnični podatki: 5, 12, 24 VDC +/- 10% 71, 158,311 mW 32768 Hz 20.2 x 24.8 mm Napetost Poraba Frekvenca ose. Velikost pl. vezja Tehnični podatki za čip: Napetost 3 - 6 VDC Tipična poraba Max. tok na izhodu Max. padec Vdd na tranzistorju Frekvenca ose. Frekvenca impulzov 36 s Širina impulzov 0.2/1 s CMOS tehnologija Nivo kakovosti C2 Velikost tabletke 2.95 x 2.17 mm 50 |aA (pri Vdd = 5 V) 5 mA (pri Vdd = 5 V) 0,5 V (pri le = 5 mA) 32768 Hz „ um l-Vcc>......- KI ,J1 J 2 --o—o- R2 -{.MOL )- k;,__ LibOt' H'2 C I .i.luf-" 1)2 ' {'{ - has i r> m IG 1 8 Vdd our or,(. oui tMzms r.KU-.c r OSC IN Vsi; .W.'f.n H; 2 214 Informacije MIDEM 23(1993)3, Ljubljana MINI ČASOVNI ŠTEVEC Miniaturni časovni števci so izdelani za montažo na tiskano vezje ali za vgradnjo v ohišje. Števci za montažo natiskano vezje so prilagojeni za avtomatično spajkanje in so vodotesni. So tudi neobčutljivi za zunanja magnetna polja. Mini časovni števci se uporabljajo za ugotavljanje obratovalnega časa najrazličnejših strojev ali naprav. Števci se priključijo vzporedno s tokokrogom naprave in obratujejo samo kadar je ta vklopljena. Števce odlikujejo naslednje tehnične lastnosti: - točnost delovanja — visoka odpornost na vibracije in udarce — neobčutljivost na motnje zunanjega magnetnega polja — majhne dimenzije — majhna poraba energije — konstrukcija omogoča spajkanje na TIV ali samostojno vgradnjo — vodotesna izvedba ohišja Tehnični podatki: Število mest Velikost številk 6 mestni - 4 cele, 2 decimalni 7 mestni - 5 celih, 2 decimalni 1.7 x 4mm (6 mestni) 1.2 x 4mm (7 mestni) Temp. območje delovanja Življenska doba Pogrešek IP zaščita Odpornost na zunanje magnetno polje 100KA/m -10 st.C / + 50 st.C 5 let +/- 20 sek/dan IP 65 Ohišje Velikost Lega vgradnje prozorna plastika 25,2 x 31 x 13,9 poljubna Janez Vari, dipl. ing. str JožeŽmavc, dipl.ing. el. Iskra Mehanizmi Upnica Upnica 8, 64245 Kropa SUPPRESSOR AND LIMITER DIODES FROM ISKRA SEMICON For several years now manufacturers of electronic equipment have been investigating the couses and effects of transients produced by atmospheric disturbances and other sources such as inductive charges from relays, motors, cables, etc. With introduction of electro mechanical switchboards and the use of protection gas valves, the damage caused by transient voltage decreased dramatically but the response delay (so important in these cases) was not very good. The effect is short as the energy source stored in the inductance is limited and is generally dissipated by an instant increase in power (energy = power x time). It can be repeated several times due to a simple effect of switching and this effect, if accumulated, can be significant. Because of this, a demand for quicker response, using solid state physics, was required for complete protection of circuits. The advantages of semiconductors has led to the use of varistors and more recently to suppressor diodes as elements for discharging over voltages. It is therefore obvious, that suppressor diodes will play an important part in modern comunication systems, as a suppressor of transient over voltage produced by current pulses. There are basically two kinds of protection: clamping and crowbar. Clamping protectors: the most common are varistors and suppressor diodes. Varistors are clamping devices used in alternating current supplies. It has non-linear symetric features and a response time in the region of 25 nsec. Although it has a lower current capacity than gas valves, the breakdown is more reliable. Gas valves are to be found among crowbar protectors. These devices use the gap between two electrodes. This gap goes from tens of volts to kilovolts. It's most important features are: - High current capacity - High noise - Slow response time - It shortcircuits when breakdown voltage is reached Informacije MIDEM 23(1993)3, Ljubljana - Requires high dropout voltages which may be damaging for the rest of the circuit. Supressor diodes made from silicon, formed through a diffusion process and specificaly conceived short response time (1 pico sec.). They are manufactured in two versions: UNIDIRECTIONAL, SYMBOL BIDIRECTIONAL, SYMBOL CONCEPT OF PROTECTION GAS VALVES VARISTORS SUPPRESOR DIODES Range of breakdown voltage 65 V -10 KV 20-2000 V 1.33-10.7 6.8 - 440 V Current capability Very high Medium Medium Resistance in area of conduction Very Low Medium Low Resistance in area of no conduction High High High Capacitance Very Low Medium Low Response time Medium (250 ns) Fast (25 ns) Very fast (1 pico sec. U/l Feature Symetric Symetric Symetric Asymétrie Failure Mechanism Open Circuit Short Circuit Short Circuit Kind of protection Crowbar Clamping Clamping Noise Very high Low Very Low To protect continuous current, unidirectional ones are used with the cathode in the positive part of the voltage. Bidirectional ones are used with either positive or negative voltage as they are basically two diodes in opposition. These are commonly used in AC circuits due to its simetrical characteristic U-l. These components fail when presented with power peak higher then the maximum Ppp of the diode which causes the silicon to fuse thereby short circuiting the diode. It's advisable to have a circuit breaker fitted, which cuts off the supply of current for an excessively long time. When a circuit has to be protected from over voltages of higher voltage, these diodes may be grouped in serie with the aim of creating a higher voltage. The most important properties of these diodes are: — very short responce time: typical 1 psec - very small dynamic impedances in the conduction zone — good clamping capability — good stability in long term storage and operation — high power dissipation absorbtion combined with over voltages of a short duration. The test pulse for the peak current and the clamping voltage is a shock wave pulse characterized by a rise time and a fall time. if SM Ua U„ U, forward characteristic UF ■ FORWARD VOLTAGE IF - FORWARD CURRENT IFSM - RATED FORWARD PULSE CURRENT reverse characteristics URM • REVERSE VOLTAGE IN WHICH POINT THE DIODE DOES NOT CONDUCT IRM • MAXIMUM REVERSE LEAKAGE CURRENT AT URM UBR • VOLTAGE VALUE WHERE THE CURRENT INCREASSES VERY FA.TT (AVALANCHE POINT) IBR -TEST CURRENT FOR UBR UCL - MAXIMUM PROTECTION VOLTAGE FOR A PULSE WITH A IPP VALUE IPP - PEAK PULSE CURRENT DETERMINATED BY AHME AND WAVE AMPLITUDE (EXPO. PULSE l ft xcc.) The rise time lasts between 8 and 10 pisec and is defined between 10 and 80% of the maximum current value. The fall time is, when current decreases to 50 % of the peak value, (between 20 and 1.00 msec). ti (M-S) t2 (US) Wave 8/20 |ds 8 20 Wave 10/1000 ¡is 10 1000 peak current pulse wave form 216 Informacije MIDEM 23(1993)3, Ljubljana BZW04 BZW06 BZW1.5 PEAK PULSE POWER " Ppp w) 400 600 1500 type 21 Breakdown vol Ubr (V) 5% tage at Ir (mA) Clamping voltage at lpp Uci. (V) Peak pulse current lpp" (A) Peak pulse current lpp'1 (A) Peak pulse current lpp" (A) 5V8 6 8 10 10 5 38 57 143 5V4 7 5 1 113 35.4 53 132 7V0 8.2 1 12.1 33 50 124 7V8 9.1 1 13.4 30 45 112 8V5 10 1 14 5 27.6 41 103 9V4 11 1 15 6 25.7 38 96 10 12 1 16 7 24 36 90 11 13 1 18 ? 22 33 82 13 15 1 21.2 19 28 71 14 16 1 22.5 17.8 27 67 15 18 1 25.2 16 24 59.5 17 20 1 27.7 14.4 22 54 19 22 1 30.6 13 20 49 20 24 1 33.2 12 18 45 23 27 1 37.5 10.7 16 40 26 30 1 415 9.6 14.5 36 28 33 1 45 7 8.8 13 1 33 31 36 1 49.9 8 12 30 33 39 1 53.9 7.4 11 1 28 37 43 1 59.3 6,7 10.1 25 3 40 47 1 64.8 6.2 9.3 23.2 44 51 1 70.1 5.7 b 6 21.4 48 56 1 77 5.2 78 19.5 53 62 1 85 4.7 7.1 17.7 58 68 1 92 4.3 6 5 16.3 64 75 1 103 3.9 5 8 14.6 70 82 1 113 3.5 5.3 13.3 78 91 1 125 3.2 4.8 12 85 100 1 137 2.9 4.4 11 94 110 1 152 2.6 3.9 9.9 102 120 1 165 2 4 3 6 9.1 111 130 1 179 2.2 3 4 8.4 128 150 1 207 2 2 9 7.2 136 160 1 219 1 8 2 r 6.8 145 170 1 234 1 7 2 6 6.4 154 180 1 246 1.6 2 4 6 1 171 200 1 274 1.5 2 2 5.5 188 220 1 301 1.4 2 4.6 213 250 1 344 1.3 2 5 239 280 1 384 1.3 2 5 256 300 1 414 1.2 1.6 5 273 320 1 438 1.2 1.6 4.5 299 350 1 482 0.9 1.6 4 342 400 1 548 0.9 1 3 4 376 440 1 603 0.8 1 3 3.5 case DO- 41 DO - 27 •H O HI, V ■ 1 DO -41 i ; DO-27 1) IiXi'ONüNTIAi. PUI-SF 10/ 1 BASIC PART NUMBI-R. Ii.(i. BZW04 ■ 5VKB 217 Informacije MIDEM 23(1993)3, Ljubljana BIDIRECTIONAL LOW VOLTAGE, LOW CAPACITANCE, TRANSIENT SUPPREESSOR DIODES Type Stand-off voltage U (A) Breakedown voltage at Ir= 10mA 10% Uon (V) Peak pulse current Ipp (A) Capacitance U = OV C (PF) Temerature coeficient crC (mV/oC) Case SV 0.8 0.8 1.33 30 1040 -3.6 SV1.2 1.2 2 25 346 -5.4 SV 1.6 1.6 2.7 15 260 -7.2 DO-41 SV 2 2 3.3 15 208 -9 SV 2.4 2.4 4 15 173 -10.8 SV 2.8 2.8 4.7 15 148 -12.6 SV 3.2 3.2 5.4 15 130 -14.4 SV 3.6 3.6 6 15 115 -16.2 SV 4 4 6.7 15 104 -18 SV 4.4 4.4 7.3 15 94 -19.8 case similar DO-41 SV 4.8 4.8 8 15 86 -21.6 SV 5.2 5.2 8 7 15 80 -23.4 SV 5.6 5.6 9.4 15 74 -25.2 SV6 6 10 15 69 ■27 SV 6.4 6.4 10.7 15 65 -28.8 SVP 0.8 0.8 1.33 100 1550 -3.6 SVP 1.2 1.2 2 75 1050 -5.4 SVP 1.6 1.6 2.7 50 840 -7.2 DO - 27 SVP 2 2 3.3 50 660 -9 SVP 2.4 2.4 4 50 550 -10.8 SVP 2.8 2.8 4.7 50 470 -12.6 SVP 3.2 3.2 5.4 50 412 -14.4 SVP 3.6 3.6 6 50 366 -16.2 SVP 4 4 6.7 50 330 -18 SVP 4,4 4.4 7.3 50 300 -19.8 ease similar DO-27 SVP 4.8 4.8 8 50 275 -21.6 SVP 5.2 5.2 8.7 50 253 -23.4 SVP 5.6 5.6 9.4 50 235 -25.2 SVP 6 6 10 50 220 -27 SVP 6.4 6.4 10.7 50 206 -28.8 TYPICAL APPLICATIONS OF SUPPRESSOR DIODES f c___IL.. V * A y DC 11.. Jr r ! I loot ac supply protection rei.e and contactor transient limiting AC ri i AC Spark C * \ gape \ t / i L___________, over voltage power suplly protection data line protection 218 Informacije MIDEM 23(1993)3, Ljubljana AC II! _______! I * « A v ! + ¡ ! DC motor { _ LI* r> .............. 4- DC SUPPLY PROTECTION EMI SUPPRE.SING IC voltage regulator AC Une I INPUT-OUTTUT PROTECTION PROTECTION BY TWO UNIDIRECTIONAL DIODES BIDIRECTIONAL LIMITER DIODES Type Steady state current Power dissipation Peak pulse current1' Temepe-rature Voltage Uf (V) at If = case If (mA) Ptot (mw) Ipp (A) ore (mW°C) 10 A 1 mA 10 mA 70 mA 100mA 1A 10 A min min max min min max max max max SV02 500 30 -3.8 0.2 0.9 SV022 250 25 -5.7 0.4 1.8 SV03 166 1000 15 -7.6 0.6 2.7 DO-41 SV04 125 15 -9.5 2.05 2.56 3.35 SV05 100 15 -11.4 2 55 3 18 4.18 SV12 750 100 -3.8 0.21 0.61 0.88 1.05 SV122 375 75 -5.7 0.42 1.22 SV13 250 1500 50 -7.6 0.63 1.83 DO-27 SV14 188 50 -9.5 0.84 2.44 SV15 150 50 -11.4 1.50 3 50 * Pulse test. Pulse widh 1msec UNDIRECTIONAL LIMITING (STABISTOR) DIODES Type Steady state current If mA Power dissipation Ptot (mW) Minimum reverse voltage Ur (V) 5 mA Voltage If 10 mA jf (V) at 100 mA 200 mA case min max min max min max min max 2360 1.000 1000 55 0.63 0.71 DO-41 BY02A 500 1.1 1.35 1.4 1.7 BY03A 300 1.7 2 2 2.15 BY04A 200 2.25 2.75 2.7 3.25 TYPICAL APPLICATIONS OF LIMITER DIODES — temperature compensation of transistor and "voltage regulator diode" circuits — amplitude stabilization of touch-tone telephone oscillation — voltage limiters and dividers — wave squarers for threshold limiters, level shifters, etc. — secondary arrestors in repeater amplifiers — click absorbtion in telephone handsets ISKRA SEM ICON d. d. SLO 61420 Trbovlje Gabrsko 12 tel. (0601) 24155 fax (0601) 22376 219 Informacije MIDEM 23(1993)3, Ljubljana KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA Tečaj osnove vakumske tehnike V dneh 15., 16., in 17. junija je bil v organizaciji DVT Slovenije izveden prvi letošnji tečaj "Osnove vakumske tehnike". Potekal je na Inštitutu za elektroniko in vakum-sko tehniko, prva dva dni dopoldne in popoldne, zadnji dan pa le dopoldne. Udeležencev je bilo sedem in sicer iz naslednjih delovnih organizacij: Labod Trebnje - Novo mesto, Iskra avtoelektronika Nova Gorica, Inštitut Zoran Rant Škofja Loka, IEVT Ljubljana in 2 zasebni podjetji (iz M. Sobote in iz Benedikta). Program je kot običajno obsegal 20 h predavanj in vaj z naslednjo tematiko: - pomen in razvoj vakuumske tehnike - fizikalne osnove - vakumske črpalke - meritve vakuuma — materiali v vakumski tehniki — hermetičnost in odkrivanje netesnosti — tehnike čiščenja in spajanje — analize površin — tankoplastne in druge vakuumske tehnologije — čiščenje in preiskave plinov Tečajniki so si ogledali tudi nekatere laboratorije in specialno tehnologijo na Inštitutu za elektroniko in vakuumsko tehniko ter na koncu prejeli potrdilo o udeležbi. Andrej Pregelj 1. Srečanje vakuumistov Slovenije in Hrvaške Po razpadu Zveze Jugoslovanskih društev za vakuumsko tehniko TUVAK, zaradi Srbske agresije v bivši Jugoslaviji, sta hrvaško in slovensko društvo sklenili ohraniti in celo izboljšati že obstoječe strokovne stike. Že lani v Haagu, ob sprejetju v mednarodno zvezo IUVSTA smo se vakuumisti obeh novih držav dogovorili za pripravo 1.skupne konference letos spomladi v Zagrebu. 21. aprila se nas je na enodnevnem srečanju zbralo na Institutu za fiziko pri Mirogoju (Zagreb) cca50 strokovnjakov iz institutov in industrije, od tega 31 aktivno z referati. Predavanja omejena na 15 - 20 minut so potekala od 8.30 do 18.50; za izmenjavo mnenj in navezavo stikov je bilo le malo časa: dve kratki coffee pavzi in kosilo. Tematika, splošno vakuumska je posegala na vsa strokovna področja mednarodne zveze. Vzporedno je potekala mala razstava vakuumskih elementov, kjer so domači proizvajalci in zastopniki tuijih firm seznanili strokovnjake s svojo ponudbo. Lokalni organizator - Hrvaško društvo je srečanje izvedlo v prisrčnem in gostoljubnem vzdušju ter pripravilo za vsakega udeleženca zbornik abstraktov. Referati bodo objavljeni v strokovni reviji Vakuumist slovenskega vakuumskega društva. Andrej Pregelj PREDSTAVLJAMO PODJETJE Z NASLOVNICE "Austria Mikro Systeme International AG", AMS for short Founded only 10 years ago, AMS has grown to be one of the leading European manufacturers in the semiconductor market. At its headquarers in Unterpremstatten near Graz, AMS designs and produces "custom circuits", so- called ASICs for "Application Specific Integrated Circuits". Expressed very simply: AMS designs and produces tailor-made chips for the most individual customer requirements. No mass market "We are thus not only incredibly flexible, but also not exposed to the enormous risk of the mass products, such as memory chips", says President and CEO Horst Gebert, who has led the company successfully since 1986. 220 Informacije MIDEM 23(1993)3, Ljubljana Everything under one roof - optimum flexibility AMS combines every technological step "under one roof": - research and development, - product idea, - chip design, - production, - test and - sales and marketing. Thus AMS is quite extraordinarily flexible. "In recent years we have been able to reduce the product life of our ASICs by 50% from its original four down to its present-day two years", says Gebert proudly. "And this year 60% of our sales will be with products only developed in 1992". The AMS "three column concept" AMS concentrates on market segments which it services with innovative system solutions. These three columns are: - telecomunications, - automotive industry and - industrial electronics. Today tailor-made AMS chips are just as common as the control elements in handy phones as they are in intensive care stations, industrial robots or in the safety system of our cars. There is hardly any other ASIC manufacturer as capable as AMS at adapting to the specific needs of each individual customer and designing and producing precisely those tailor-made ICs which the client needs. 1992 - European champion This flexibility on the one hand, but also the quality level on the other, and AMS' innovative strength and the environmental protection measures, were the reasons for the American trade magazine "Semiconductor International, USA" to elect AMS as the "best semiconductor factory in Europe in 1992" (TOP FAB OF 1992). Internationally active From its headquarters in Unterpremstatten (the AMS research centre Premstatten Castle already bears the synonym of Austria's "Sillicon Castle") - AMS controls sales offices and design centres in Paris, Milan, London, Stockholm, Munich, Hamburg, Dresden and Cupertino/USA. AMS operates in rapid growth markets The three "market columns" of AMS, telecomunications, automotive and industrial electronics are heavy growth markets. Thus the number of telephone lines in Europe is predicted to increase to 330 million by 1995, the number of comfort telephones in Europe is expected to rise from 4.5 million in 1992 to 8 million by 1995. In the same period standard telephones will increase from 30 million to 40 million units, and with special telephones a doubling is forecast in the next three years (to 4 million units). And by 1995 the worldwide market for mobile communications might even achieve US$ 35 billion. Despite the recession in the automotive Industry -electronics will continue to grow Despite the almost worldwide recession in automobile production thê use of advanced electronics will grow. Thus it is estimated that by 1995 the electronics share in a car will increase from its present 8% (of the overall production costs) to 20%(l). AMS sales 1992: ATS 725 million, a plus of 17% In the year 1992 AMS was able to increase sales by 17% to ATS 725 million (1991: ATS 617). The workforce average 584 (1991 : 554). ATS 65 million profit according to ÔVFA With a profit increase of 8% according to ÔVFA - ATS 56 million - a cash flow of approx. 20% of the sales was achieved. Export quota 97% Last year 97% of AMS sales went abroad. The most important export markets for the Styrian high-tech company were: - Nordic countries 33% - Germany 19% - Italy/Spain 12% - USA 8%. - France/Benelux 6% - England/Ireland 6% - Rest of the world 7% Contact: Dr. Conrad Heberling, ext. 277 Schloss Premstätten A-8141 Unterpremstätten, Austria Telex 312547 ams a fax (03136) 52 501, 53 650 tel.: (03136) 500-0* 221 Informacije MIDEM 23(1993)3, Ljubljana VESTI Aprilska številka Novic - MZT prinaša predstavitev 53 raziskovalno-razvojnih projektov, ki jih je Ministrstvo za zanost in tehnologijo financiralo v letih 1991 in 1992. Za bralce Informacij MIDEM smo pripravili predstavitev nekaterih projektov iz dveh raziskovalnih polj. Raziskovalno polje: ELEKTRONSKE KOMPONENTE IN TEHNOLOGIJE Naslov: Senzor za merjenje pritiska do 500 bar s pripadajočo električno mersko enoto Naročnik projekta: Kladivar, Žiri Vodja projekta: mag Marjan Hudomalj, Senzolab, Ljubljana Izvajalec projekta: Senzolab, Ljubljana Trajanje projekta: januar 1992 - december 1992 Delež sredstev MZT: 1.000.000,00 SIT Rezultati projekta: Dosedanji rezultati so zaključili uvodni del v obsežnejšem razvojnem delu pri tem projektu. Rezultati slonijo na intenzivnosti, saj je bilo treba združiti postopke in metode, ki med seboj niso kompatibilni. Vtem delu so bile določene lastnosti in na njihovi podlagi izbrana kovina za izdelavo membrane, razviti pa so bili tudi površinske obdelave in zaščite membranske folije ter postopka mehanskega puščanja. Področje implementacije rezultatov: Namen raziskave in razvoja tankoplastnih senzorjev za pritisk je bil izdelati prototip in vzpostaviti redno proizvodnjo. Tržni (ekonomski) učinki: Analiza tržnosti napoveduje ugodne tržne učinke. Sodelovanje raziskovalcev iz znanstveno-raziskovalne in proizvodne sfere: V dosedanjem delu je sodelovala skupina strokovnjakov z Univerze v Ljubljani, iz Kladivarja in Senzolaba, pred temi raziskavami pa so večletne temeljne raziskave potekale na Institutu "Jožef Štefan" v Ljubljani. Naslov: Visokovakumski naparevalnik ogljika C60 Naročnik projekta: Institut "Jožef Štefan", Ljubljana Inštitut za avtomatizacijo procesov p.o., Ljubljana Vodja projekta: izr.prof.dr. Jože Gasperič, Institut 222 "Jožef Štefan", Ljubljana Izvajalec projekta: Institut "Jožef Štefan", Ljubljana Trajanje projekta: januar 1992 - december 1992 Delež sredstev MZT: 6.821.100,00 SIT Rezultati projekta: Končni rezultat projekta je laboratorijski prototip vakuumskega naparevalnika ogljika C6o, ki že rabi kot rutinska naprava za pridobivanje fluerena C6o. Področje implementacije rezultatov: Fuleren C6o je osnova za izdelavo novih snovi z odličnimi tribološkimi ter superprevodnimi lastnostmi. Tržni (ekonomski) učinki: Ekonomski učinki še niso znani, pričakovanja v svetu pa so velika. Sodelovanje raziskovalcev iz znanstveno-raziskovalne in proizvodne sfere: Rezultat projekta je posledica sodelovanja med Institutom "Jožef Štefan" in Inštitutom za avtomatizacijo procesov ter tujimi univerzami. Naslov: Ultra hitra dioda Naročnik projekta: Iskra Semicon d.d., Trbovlje Vodja projekta: Zmago Zupančič, dipl. ing., Iskra Semicon d.d., Trbovlje Izvajalca projekta: Iskra Semicon d.d., Trbovlje; Mikroiks d.o.o., Ljubljana Trajanje projekta: januar 1991 - december 1992 Delež sredstev MZT: 3.000.000,00 SIT Rezultat projekta: Vzporedno so razvili slojno in planarno tehnologijo za izdelavo ultra hitrih silicijevih diod. Obe tehnologiji se dopolnjujeta, saj se v prvi laže izdela visoko napetostne diode z daljšimi preklopnimi časi, medtem ko se s planarno tehnologijo laže izdela diode z nižjo prebojno napetostjo in krajšimi preklopnimi časi. Izdelane diode so dale pričakovane rezultate in ustrezajo zahtevam kupcev. Informacije MIDEM 23(1993)3, Ljubljana Pri obeh tehnologijah je difuzija dopantov najpomembnejši korak. S spreminjanjem pogojev difuzije se vpliva na distribucijo dopantov, ki ima skupaj s površinsko koncentracijo dopanta pomembno funkcijo pri zagotavljanju ustreznih preklopnih karakteristik diode. Pri tem imajo glavno vlogo vgrajena električna polja in z difuzijo tvorjeni defekti. Z izdelavo ultra hitrih diod so razvili in optimirali difuzije tako, da dajo zahtevane lastnosti. Zelo pomembno je bilo zagotoviti čim večjo uniformnost in ponovljivost postopka. Preklopni čas diod se uravnava z vsebnostjo zlata v siliciju. Z difuzijo zlata se lahko zagotovi koncentracija zlata velikostnega reda 1015 at/crrr Si, kar pomeni 0,1 ppm zlata v siliciju. Izredno zahtevnost postopka kaže tudi zahtevana natančnost doseganja koncentracije zlata. Tolerančna meja je 10% (0,01 ppm). Zaradi doseganja visokih zapornih napetosti so potrebne ustrezne zaključitve polprevodniške strukture in pasivacije. Površinski tokovi ob zaključni strukturi so funkcija nečistoč. Poleg tega je silicij zaradi kompenzacije z zlatom skoraj intrinsičen in zelo občutljiv na električna polja in naboje v okolici. Strukturo diode so uspeli primerno oblikovati in pasivirati in tako dosegli skoraj teoretično vrednost reverznega toka diode. Celoten proces jim je uspelo optimirati do te mere, da so dosegli za tovrstne tehnlogije izjemno visok izplen -95%. Zaradi vedno večjih zahtev kupcev bo treba nadaljevati delo pri optimiranju procesa. Področje implementacije rezultatov: Hitre in ultra hitre diode se uporabljajo povsod, kjer je prisotna visoka frekvenca. V svetu so vedno bolj popularni stikalni napajalniki (switch - mode powersupplies), ki delajo na višji frekvenci, zato se povečuje proizvodnja in prodaja hitrih in ultra hitrih diod. Tovrsten napajalnik razseka izmenično napetost na frekvenčno področje od 20 do 200 kHz. Vhodna dioda je standardna usmerniška dioda, ena od izhodnih dveh diod je zaradi visoke frekvence ultra hitra, druga pa zaradi nizke usmerniške napetosti schottky dioda. Vsi osebni računalniki, monitorji, TV sprejemniki, naprave za izkoriščanje sončne energije, regulatorji, brez-prekinitvene napajalne naprave in ostale moderne naprave vsebujejo stikalne napajalnike. Razmerje med uporabnimi diodami je: 25% standardna usmerniška dioda, 75% hitra in ultra hitra dioda. Tržni (ekonomski) učinki: Ultra hitre diode že uspešno prodajajo, poteka pa tudi marketinška obdelava tržišča. Sodelovanje raziskovalcev iz znanstveno-raziskovalne in proizvodne sfere: Uporabili so raziskovalne možnosti Instituta "Jožef Štefan" in Inštituta za elektroniko in vakuumsko tehhniko. Naslov: Vakuumske tehnologije in karakteriza-cije površin za elektroniko in optoeiektroniko Naročniki projekta: Iskra Elektrooptika, Ljubljana; Iskra Zaščite, Ljubljana; Inštitut za elektroniko in vakuumsko tehniko, Ljubljana Vodja projekta: France Brecelj, dipl. ing., Inštitut za elektroniko in vakuumsko tehniko, Ljubljana Izvajalec projekta: Inštitut za elektroniko in vakuumsko tehniko, Raziskovalno-razvojni oddelek, Ljubljana Trajanje projekta: januar 1992 - december 1992 Delež sredstev MZT: 15.831.650,00 SIT Rezultat projekta: Projekt je bil sestavljen iz treh delno med seboj povezanih raziskovalno-razvojnih podprojektov. Razvoj 25 mm elektronskega slikovnega ojačevalnika 2. generacije z izboljšanimi karakteristikami je bil zaključen s funkcionalnem modelom slikovnega ojačevalnika z izboljšanimi karakteristikami: med drugimi z občutljivostjo fotokatode 450 uA/lm, ojačanjem 30000, ločljivostjo 30 Ip/mm in distorzijo < 4%, Z računalniško simulacijo se je določilo primerno geometrijo, ki pa bi jo še morali optimizirati z izdelavo serije ojačevalnikov. Razviti so bili postopki visokotemperaturne metalizacije obročkov iz visokoglinične keramike in izdelana keramično-kovin-ska ohišja za ta tip elektronke. Razvit je bil postopek sinteze visokoobčutljive multialkalijske fotokatode. Izdelani sta bili konstrukcijska in tehnološka dokumentacija. Razvoj kratke miniaturne enocolske katodne elektronike z elektrostatskim odklonom - MKES2 je bil zaključen z izdelavo laboratorijskih prototipov, ki so izpolnjevali tehnične zahteve naročnika za vgradnjo v novo termovizijsko kamero. Vzorci elektronk so bili na testiranju v tujini odlično ocenjeni. Elektronka je bila predstavljena na Sejmu elektronike v Ljubljani. Razvoj linearnega polimernega senzorja in industrijskih merilnikov vlage je bil zaključen z izdelavo serij tanko-plastnih polimernih senzorjev v čip obliki in v T05 ohišju. Senzorji ustrezajo zahtevam splošne uporabe. Stabilno delovanje naj bi se doseglo tudi pri višjih temperaturah in vlagah, kar bi razširilo njihovo uporabnost v industriji. Razvitih je bilo nekaj tipov dajalnikov relativne vlage z napetostnim ali tokovnim izhodom oz. z optičnim prenosom merilnih podatkov. Razvili so dajalnik relativne vlage na kapacitivnem načelu s senzorjem v T05 ohišju, namenjenem krmiljenju gospodinjskih sušilnih strojev, ki pa zaradi fizikalnih zakonitosti ni primeren za meritve vlage v izpuhu sušilnika. Osvojili so izdelavo kalibrov relativne vlage z nasičenimi raztopinami soli po DIN 223 Informacije MIDEM 23(1993)3, Ljubljana 50008 za meritve senzorjev vlage in umerjanje merilnikov vlage. Delo nadaljujejo z izdelavo računalniško nadzorovane klimatizacijske komore za umerjanje merilnikov relativne vlage. Vzorčni merilniki vlage so bili predstavljeni na Sejmu elektronike v Ljubljani. Področje implementacije rezultatov: Pri prvem podprojektu osvojeno znanje je omogočilo sodelovanje z inozemskim partnerjem na področju slikovnih elektronk, vključno z izdelavo serije ohišij zanje ter predvidenim sodelovanjem pri uporabi snemalnih elektronk pri varovanju objektov v izredno slabih svetlobnih razmerah. Nov tip elektronke MKES2 je primeren za vgradnjo v sodobne termovizijske kamere, kar pa ni njegova izključna uporaba. Razvoj linearnega polimernega senzorja in industrijskih merilnikov vlage omogoča vključevanje na področju, ki je v svetu še v razvoju in doseženi rezultati ne zaostajajo veliko. Laboratorij za meritve relativne vlage in pripravo kalibrov vlage se bo vklučil v slovenski sistem laboratorijev. Tržni (ekonomski) učinki: Delo pri prvem podprojektu je spodbudilo naročilo prve serije kovinskih ohišij elektronk znanega tujega naročnika in sodelovanje pri njegovem razvoju, sicer v manjšem obsegu, vendar z možnostjo večjega vključevanja. Možna pa bi bila tudi pomoč pri vpeljavi proizvodnje. Proizvodnja elektronk MKES2 je povsod v svetu maloserijska in zato so brez večjih kapitalskih vložkov lahko konkurenčni, ker prodajajo znanje in potrebujejo le nekaj več kot 10% materiala. Prodaja nekaj 100 katodnih cevi pomeni realizacijo blizu 0.5 mio DEM. Prodaja kakovostnih senzorjev vlage ima veliko možnosti. V Sloveniji obstaja tudi potreba po umerjanju merilnikov vlage, ki so v uporabi v industriji in laboratorijih, saj tudi najugodnejše svetovne firme predpisujejo preverjanje vsakih nekaj mesecev, najpozneje pa po enem letu. Trajanje projekta: januar 1992 - december 1992 Delež sredtev MZT: 3.789.500,00 SIT Rezultati projekta: Injekcijsko brizgana keramika je tržno zanimiv proizvod, s katerim Iskra AET, Tolmin, že leta uspešno prodira na tuja tržišča. Zanimanje za tovrstne izdelke (predvsem tesnilne ploščice za armature) je v zadnjem času še večje, ker Iskra AET uporablja tehnologijo nizkotlačnega brizganja. Ta je postala aktualna zlasti zaradi večje ekonomičnosti v primerjavi z visokotlačnim brizganjem (manjša poraba energije zaradi nižjih tlakov in znatno manjša obraba orodja za brizganje). Da bi ohranili konkurenčnost svojih izdelkov, je bilo nujno poglobiti nekatera znanja, ki omogočajo večje obvladovanje procesa in zmanjševanje odpadkov zaradi napak v keramiki. Analiza napak v izbranih izdelkih iz proizvodnje je pokazala vir napak že v zelo zgodnji fazi proizvodnje, t.j. v pripravi mase za brizganje. Na temelju poglobljene analize lastnosti izhodnih surovin in analize reoloških lastnosti vodnih in parafinskih suspenzij keramičnega prahu ter študija kritičnih faz proizvodnje so definirali sestavo parafinske suspenzije in nekatere tehnološke parametre. Za zagotovitev stabilnosti kakovosti je nujno treba zagotoviti minimalno prisotnost vlage v izhodnih surovinah in med samim tehnološkim postopkom. Optimalna koncentracija ustrezne kombinacije površinsko aktivnih snovi zagotavlja pa rafinski suspenziji primerne reološke lastnosti, zlasti večjo stabilnost in nizko viskoznost pri visoki vsebnosti keramičnega prahu. Posodobitev nekaterih kritičnih faz bo v prihodnje omogočila optimalno izkoriščanje pridobljenih znanj in s tem doseganje visoke kakovosti injekcijsko brizgane keramike. Področje implementacije rezultatov: Rezultati razvojnega dela bodo omogočili izboljšanje kakovosti injekcijsko brizgane tehnične keramike za tri-bološke aplikacije. Tržni (ekonomski) učinki: S prenosom rezultatov razvojnega dela v proizvodnjo se je za 2 do 3% zmanjšal odpadek in povečal izvoz za približno 16%. Raziskovalno polje: MATERIALI Naslov: Razvoj tehnologije injekcijskega brizganja za izdelavo nove generacije keramike na osnovi aluminijevega oksida Naročnik projekta: Iskra AET, Tolmin Vodja projekta: mag. Saša Novak, Institut "Jožef Štefan", Ljubljana Izvajalca projekta: Institut "Jožef Štefan", Ljubljana; Iskra AET, Tolmin Naslov: Magnetni materiali na osnovi NdFeB spojin Naročnik projekta: Iskra Magneti p.o., Ljubljana Vodja projekta: dr. Spomenka Kobe-Beseničar, Institut "Jožef Štefan, Ljubljana Izvajalec projekta: Institut "Jožef Štefan", Ljubljana Trajanje projekta: januar 1992 - december 1992 Delež sredstev MZT: 3.392.497,00 SIT Informacije MIDEM 23(1993)3, Ljubljana Rezultati projekta: Rezultat projekta so izdelani trajni magnetni materiali na osnovi spojine NdFeB z izboljšanimi magnetnimi lastnostmi. Z majhnim dodatkom ZrC>2 so dosegli izboljšanje koercitivne sile sintranih magnetov za 20 %, ne da bi se pri tem poslabšala remanentna magnetizacija. Z znatnim izboljšanjem temperaturnih koeficientov obeh karakterističnih magnetnih lastnosti pa so povečali tudi temperaturno območje uporabe teh magnetov. Dodatek Zr oksida izboljša magnetne lastnosti NdFeB magnetov, obenem poveča tudi njihovo korozijsko obstojnost, ki je za uporabo teh materialov prav tako pomembna kot njihova magnetna stabilnost. Izboljšanje magnetnih lastnosti in povečano korozijsko stabilnost so razložili z nastankom nove faze, ki so jo s pomočjo elektronske mikroskopije in EDS analize identificirali kot ZrB2 fazo. Novo nastala faza v obliki heksa-gonalnih ploščic vpliva na razvoj mikrostrukture med sintranjem, zavira rast zrn trdomagnetne faze in tako posredno vpliva na magnetne lastnosti. Vsebnost prostega Nd na mejah med zrni se zaradi reakcije z Zr02 zmanjša, s tem se zmanjša občutljivost mej med zrni. Korozija poteka le na površini vzorcev, medtem ko je pri nedopiranih vzorcih globinska. Korozijska obstojnost se poveča za faktor 10. Področje implementacije rezultatov: Razvojno-raziskovalno delo se vklaplja v dolgoročno usmeritev tovarne Iskra Magneti. Z novimi programi želijo razširiti in posodobiti svoj proizvodni program, to pomeni, v nekaj letih nekatere proizvode iz Alnico programa zamenjati z modernejšimi materiali, ki omogočajo miniaturizacijo na vseh področjih njihove uporabe in med katere sodijo tudi sintrani magneti na osnovi NdFeB spojine. Naslov: Razvoj keramičnih granulatov in konstrukcijskih delov Naročnik projekta: COMET, umetni brusi in nekovine, Zreče Sopredlagatelj: Iskra AET, Tolmin Vodja projekta: dr. Miloš Komac, Institut "Jožef Štefan", Ljubljana; COMET, Zreče; Iskra AET, Tolmin - Trajanje projekta: 1990 - 1991 Delež sredstev MZT: 878.657,00 SIT Rezultati projekta: Cilj projekta je bil povečati izbiro izdelkov iz tehnične keramike, hkrati pa povečati izkoriščenost proizvodne opreme. To bi bila tudi osnova za razvoj tržno zanimivih izdelkov v obeh podjetjih, t.j. v Cometu in Iskri AET. Končni izdelek iz granulata pa je bil bat za visokotlačno črpalko. V okviru projekta so bili razviti novi tipi granulatov za izostatsko stiskanje z dobro obdelovalno sposob- nostjo z odvzemanjem materiala. Razvita sta bila dva granulata (interna oznaka A11 in A12, pogojno uporabljen je tudi granulat pod oznako A13), ki pomenita nov možni artikel v Cometu, Zreče. Vzporedno z razvojem granulata so se razvijali tudi bati za visokotlačno črpalko v Iskri AET, Tolmin, za znanega kupca. Področje implementacije rezultatov: Razvoj nove vrste granulata za izostatsko stiskanje v Cometu pomeni poleg tržnega učinka tudi obogatitev strokovnega znanja pri pripravi keramičnih granulatov in s tem tudi nujno prilagodljivost proizvodnji. V Iskri AET je bil razvit prvi izdelek iz potencialno zelo široke družine izdelkov podobnih karakteristik. Tržni (ekonomski) učinki: Trženje izdelka (bat za visokotlačno črpalko) je še v začetni fazi, prav tako pa tudi granulat, razvit za ta izdelek. Osnovni problem pri hitrejšem trženju so ekonomske težave in dokaj dolgi razvojni ciklus (predvsem končno testiranje pri kupcu), ki se zaključijo s prvimi dobavami in podpisom pogodb. Naslov: Razvoj visokoenergijskih magnetov na osnovi Sm(Co,Fe,Cu,Zr)7.5 Naročnik projekta: Iskra Magneti p.o., Ljubljana Vodja projekta: dr. Spomenka Kobe-Beseničar, Institut "Jožef Štefan", Ljubljana Izvajalec projekta: Institut "Jožef Štefan", Ljubljana; Iskra Magneti, Ljubljana Trajanje projekta: januar 1991 - december 1992 Delež sredstev MZT: 3.256.797,00 SIT Rezultati projekta: Konkreten rezultat projekta je v laboratoriju razvita tehnologija izdelave magnetov na osnovi zlitine Sm(Co,Fe,Cu,Zr)7.5, ki je bila uspešno prenesena v proizvodnjo. Vzorci, izdelani v proizvodnji, so si že pridobili potrdilo o kakovosti. To potrdilo je osnova za večja naročila. Vzorci za tovarno motorjev Faulhaber (Švica), ki po kakovosti tudi dosegajo zahtevane lastnosti pa so še v fazi pridobivanja certifikata o kakovosti. Na osnovi rezultatov projekta je bila vpeljana nova moderna tehnologija izdelave trajno magnetnih materialov novejše generacije, kar pomeni modernizacijo proizvodnega programa tovarne kovinskih magnetov Iskra Magneti in s tem tudi ohranitev zahodnoevropskega trga. Vpeljava te tehnologije pomeni dopolnitev dosedanjega proizvodnega programa na področju intermetalnih zlitin samarija in kobalta, kjer so že razvili in uspešno prenesli v proizvodnjo tehnologijo izdelave SmCos magnetov. Področje Implementacije rezultatov: Magnetni materiali novejše generacije so trajni magnetni materiali, ki se uporabljajo v proizvodnji elektromotor- 225 Informacije MIDEM 23(1993)3, Ljubljana jev, akcelerometrov, klistronov itd., torej predvsem tam, kjer je potrebna velika temperaturna stabilnost magnetnih lastnosti. Zaradi nižje cene surovin zlitine Sm(Co,Fe,Cu,Zr)7.5 od SmCos zlitine, pa se ti magneti uporabljajo tudi tam, kjer zahteve po temperaturni stabilnosti niso tako visoke. Tržni (ekonomski) učinki: Tržni učinki še niso znani, jih je pa mogoče predvideti v okviru dosedanjih učinkov pri prodaji SmCos magnetov na tujem in delno domačem trgu. Naslov: Oplemenitenje površin s PVD trdimi prevlekami Naročniki projekta: Iskra Avtoelektrika, Šempeter pri Gorici; Iskra Elektromotorji, Železniki; Saturnus, Ljubljana Vodja projekta: prof. dr. Boris Navinšek, Institut "Jožef Štefan", Ljubljana Izvajalci projekta: Institut "Jožef Štefan"; Fakulteta za strojništvo; I.E.V.T., Ljubljana Trajanje projetka: januar 1991 - december 1993 Delež sredstev MZT: 3.163.167,00 SIT Rezultati projekta: a) Z razvojem nove tehnologije - trde prevleke kromove-ga nitrida (CrN) so rešili problem oplemenitenja vseh vrst orodij in strojnih delov, ki prenesejo temperaturo nanašanja do 220°C. Te nekaj (im debele zaščitne prevleke z visoko mikrotrdoto so izredno odporne proti obrabi, koroziji v vseh medijih ter oksidaciji do temperature okolice do 800°C. Prevleke CrN so posebno primerne za oplemenitenje orodij za rezanje in preoblikovanje bakra, aluminija, niklja in titana ter superzlitin (pri katerih TiN prevleka odpove). Zaradi visoke temperaturne obstojnosti so CrN prevleke namenjene predvsem izboljšanju kokil za tlačno litje aluminija in Al-zlitin (npr. v proizvodnji elektromotorjev in tehnoloških komponent). Prvi uspešen poskus uporabe nove CrN prevleke je bil narejen v tovarni svetil Sijaj v Hrastniku (orodje za hladno vlečenje Fe pločevine, izdelano iz orodnega jekla OCR 12). Drugi testi se še analizirajo. b) V okviru raziskav novih področij uporabe TiN tehnologije trdih prevlek (JOSTiNR) je bila izdelana ekonomska analiza 5- letne uporabe. Pokazala je njene prednosti za serijsko proizvodnjo v slovenski strojno-pre-delovalni, elektro in lesni industriji. V letu 1992 so sistematično testirali uporabo JOSTiNR tehnologije za številna specialna orodja in strojne dele, ki so v serijski proizvodnji podvrženi močni obrabi (npr. v živilski industriji). Njihovo tehnologijo stalno uporablja 28 slovenskih tovarn in tovarna FLUID-TEC iz Milana, kar pomeni začetek prodora teh tehnologij in visokokvalitetnih orodij, oplemenitenih z JOSTiN prevleko, v prostor Alpe-Adrija. TiN prevleka ima zlato barvo, mikrotrdoto 2000 HV ter visoko korozijsko in obrabno odpornost. Zato so JOSTiNR prevleko inovacijsko uporabili tudi kot dekorativno prevleko, ki bo v proizvodnji nadomestila trdo zlatenje (npr. pri posodi EMOTON v Celju) ali večslojno zaščito pri ohišjih halogenskih sveti! (npr., V Sijaju, Hrastnik). Vsi testi so bili nadvse uspešni, za serijsko uporabo pa je potrebna še ekonomska analiza, ocena tržišča v tujini (kot izjemno kakovosten nov proizvod) in seveda odločitev v tovarnah. c) Za potrebe industrije so v letu 1992 razvili novo vrsto 2-5 (im debelih ultratrdih prevlek iz zirkonijevega nitrida (ZrN) z mikrotrdoto prek 3200 HV. Poleg izjemno visoke mikrotrdote pa ima ZrN prevleka tudi visoko obrabno, oksidacijsko in korozijsko odpornost. Pravte lastnosti pa so potrebne za oplemenitenje delov, ki so podvrženi visoki eroziji na delovnih površinah pri proizvodnji ali uporabi tekstilnih vlaken. Področje implementacije rezultatov in tržni učinki Uspeh tega tehnološko-razvojnega projekta je možen le ob najtesnejšem sodelovanju med izvajalskimi institucijami in industrijo, saj vse teste izvajajo neposredno na strojih v serijski proizvodnji. 8-letne izkušnje kažejo, da je ekonomski učinek izmerljiv. Za JOSTiN tehnologijo velja, da strošek npr. 100.000 SIT za depozicijo prevleke prinese uporabniku vsaj 650.000 SIT prihranka pri stroških proizvodnje. Pri tem pa te vrste tehnologij lahko uporabljajo vsi, od obrtnika do velikoserijske proizvodnje. Only Austrian Independent Semiconductor Manufacturer Leads Top Position for First Half 1993 Austria Mikro System International AG (AMS), the only Austrian IC manufacturer and listed on the Vienna Stock Exchange and the SEAQ in London since July 12,1993, has further strengthened its top position with its innovative products and services in the semiconductor market within the last half year. AMS is leading in the European market: No. 1 in the field of cell based mixed anal- oge/digital ASICs (application specific integrated circuits). AMS has again increased its order entry in the first half of 1993 from 393 million ATS (first half of 1992) to approximately 514 million ATS (31% Increase!). A sales increase from 346 million ATS (first half of 1992) 226 Informacije MIDEM 23(1993)3, Ljubljana to 359 million ATS and a raise in earnings of far more than 10% relative to the same period of the last year could be achieved. Noteworthy is the fact that the accomplishment of this strong increase in business was realized with basically an unchanged employee count of 586. The high investments of 69 million ATS (19% of sales in the first half of 1993, an increase of 46% relative to 1992, will largely contribute towards the effectivity of the company in regards to the high order entry. Due to the extremely good course of the business an increase in the order entry for the year 1993 of 20% (more than forecast at the beginning of 1993) is now expected; thus, AMS will go into the year 1994 with a distinctly higher backlog. Mr. Horst Gebert, President and CEO of AMS: "The ceaseless miniaturization of complex systems requires an increasing integration of high complexity which can only be achieved through microelectronics. The ASIC has its key function in this development. And, for the ASIC's development and production AMS has systematically generated the necessary intellectual capital and investments in the past years to further maintain its leading role in this prime function. Therefore, the demand for high quality ASICs from AMS will continue for the second half of 1993." New 20 Number One Touch Dialler with Serial Bus for Display Driver AMS announces the immediate availability of a completely new ASIC for the telecommunications market -the AS2574B, a 20 numberone touch dialler with a serial bus for a display driver circuit. The AS2574B is an integrated circuit in CMOS technology for feature telephones. The device which operates from 2.5V to 5.5V is a versatile LD/MF dialler with a serial interface to a display driver. The device is designed to be used in a wide variety of applications in handsets together with the AMS display driver AS2590. The on-chip RAM can contain up to 20 memories, each with a maximum of 18 digits, a 36 digit Last Number Redial (LNR) and an 18 digit notepad. Access to the 20 memories is either with direct keys, abbreviated dial code or a combination of both. The AS2574B provides a unique feature, referred to as Automatic Call Progress (ACP) on all memory keys, i.e. SPEECH CIRCUrr on 0] J- —O 227 Informacije MIDEM 23(1993)3, Ljubljana the circuit automatically seizes the line and waits for the dial tone and dials the number just by pressing any of the memory keys (including LNR). The circuit features two different access code procedures to allow easy use under a PABX. A dial tone input enables the use of a dial tone recognizer. Additional features include: Diode options for different PTT requirements, automatic pause generation after access code and direct wake-up from the keyboard. The device is now available in 28 pin DIP or PLCC packages. For a free data sheet and further information please contact your local AMS Sales Office or AMS Corporate Communications, Schloss Premstatten, A-8141 Unterpremstatten, Austria. Note to the Editor: Direct wake-up is an AMS patented solution providing line seizing with a telephone that is entirely powered by the telephone line. This is achieved by pressing a key in a key matrix linked to a dialler/controller that is constantly connected to the line by means of a line voltage limiter which maintains a high DC isolation resistance in idle state (on-hook). The wake-up feature allows the user to go off-hook by pressing a direct memory key or a loudspeaker key - so called on-hook dialling or call progress monitoring - and thereby to seize the line. SPEECH LOUDHEARING HANDSFREE TONE RINGER 228 Informacije MIDEM 23(1993)4, Ljubljana NAVODILA AVTORJEM Informacije MIDEM je znanstveno-strokovno-dru-štvena publikacija Strokovnega društva za mikroelektroniko, elektronske sestavne dele in materiale-MIDEM. Časopis objavlja prispevke domačih in tujih avtorjev, še posebej članov MIDEM, s področja mikroelektronike, elektronskih sestavnih delov in materialov, ki so lahko: izvirni znanstveni članki, predhodna sporočila, pregledni članki, razprave z znanstvenih in strokovnih posvetovanj in strokovni članki. Članki bodo recenzirani. Časopis objavlja tudi novice iz stroke, vesti iz delovnih organizacij, inštitutov in fakultet, obvestila o akcijah društva MIDEM in njegovih članov ter druge relevantne prispevke. Strokovni prispevki morajo biti pripravljeni na naslednji način 1. Naslov dela, imena in priimki avtorjev brez titul. 2. Ključne besede in povzetek (največ 250 besed). 3. Naslov dela v angleščini. 4. Ključne besede v angleščini (Key words) in podaljšani povzetek (Extended Abstract) v angleščini. 5. Uvod, glavni del, zaključek, zahvale, dodatki in literatura. 6. Imena in priimki avtorjev, titule in naslovi delovnih organizacij, v katerih so zaposleni. Ostala splošna navodila 1. V članku je potrebno uporabljati SI sistem enot oz. v oklepaju navesti alternativne enote. 2. Risbe je potrebno izdelati s tušem na pavs ali belem papirju. Širina risb naj bo do 7.5 oz. 15 cm. Vsaka risba, tabela ali fotografija naj Ima številko in podnapis, ki označuje njeno vsebino. Risb, tabel in fotografij ni potrebno lepiti med tekst, ampak jih je potrebno ločeno priložiti članku. V tekstu je potrebno označiti mesto, kjer jih je potrebno vstaviti. 3. Delo je lahko napisano in bo objavljeno v kateremkoli jugoslovanskem jeziku v latinici in v angleščini. Uredniški odbor ne bo sprejel strokovnih člankov, ki ne bodo poslani v dveh izvodih. Avtorji, ki pripravljajo besedilo v urejevalnikih besedil, lahko pošljejo zapis datoteke na disketi (360 ali 1,2) v formatih ASCII, Wordstar (3.4, 4.0), Wordperfect, Word, ker bo besedilo oblikovano v programu Ventura 2.0. Grafične datoteke so lahko v formatu HPL, SLD (AutoCAD), PCX ali IMG/GEM. Avtorji so v celoti odgovorni za vsebino objavljenega sestavka. Rokopisov ne vračamo. Rokopise pošljite na naslov Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 61000 Ljubljana UPUTE AUTORIMA Informacije MIDEM je zranstveno-stručno-druš-tvena publikacija Stručnog društva za mikroelek-troniku, elektronske sestavne dijelove i materijale - MIDEM. Časopis objavljuje priloge domačih i stranih autora, naročito članova MIDEM, s podru-čja mikroelektronike, elektronskih sastavnih dije-lova in materijala koji mogu biti: izvorni znanstveni članci, predhodna priopčenja, pregledni članci, ¡zlaganja sa znanstvenih i stručnih skupova i stručni čfanci. Članci če biti recenzirani. Časopis takoder objavljuje novosti iz struke, oba-vijesti iz radnih organizacija, instituta i fakulteta, obavijesti o akcijama društva MIDEM i njegovih članova i druge relevantne obavijesti. Stručni članci moraju biti pripremljeni kako slijedi 1. Naslov članka, imena i prezimena autora bez titula. 2. Ključne riječi i sažetak (najviše 250 riječi). 3. Naslov članka na engleskom jeziku. 4. Ključne riječi na engleskom jezikM (3Key Words) i produženi sažetak (Extended Abstract) na engleskom jeziku. 5. Uvod, glavni dio, zaključni dio, zahvale, dodaci i literatura. 6. Imena i prezimena autora, titule i naslovi institucija u kojima su zaposleni. Ostale opšte upute 1. U prilogu treba upotrebljavati SI sistem jedinica od. u zagradi navesti alternativne jedinice. 2. Crteže treba izracfiti tušem na pausu ili bijelom papiai. Širina crteža neka bude do 7.5 odnosno 15 cm. Svaki crtež, tablica ili fotografija treba ¡mati broj i naziv koji označuje njen sadržaj. Crteže, tabele i fotografije nije potrebno lljepiti u tekst, več ih priložiti odvojeno, a u tekstu samo naznačiti mjesto gdje dolaze. 3. Rad može biti pisan i biti če objavljen na bilo kojem od jugoslavenskih jezika u latinici i na engleskom jeziku. Autori mogu poslati radove na disketama (360 ili 1,2) u formatima tekst procesora ASCII, Wordstar (3.4. i 4.0), word, Wordperfect pošto če biti tekst dalje obraden u Venturi 2.0. Grafičke datoteke mogu biti u formatu HPL, SLD (AutoCAD), PCX ili IMG/GEM. Urednički odbor če odbiti sve radove koji neče biti poslani u dva primjerka. Za sadržaj članaka autori odgovaraju u potpu-nosti. Rukopisi se na vračaju. Rukopise šaljite na adresu: Uredništvo Informacije MIDEM Elektrotehnična zveza Slovenije Dunajska 10, 61000 Ljubljana Slovenija INFORMATION FOR CONTRIBUTORS Informacije MIDEM is professional-scientific-social publication of Professional Society for Microelectronics, Electronic Components and Materials. In the Journal contributions of domestic and foreign authors, especially members of MIDEM, are published covering field of microelectronics, electronic components and materials. These contributions may be: original scientific papers, preliminary communications, reviews, conference papers and professional papers. All manuscripts are subject to reviews. Scientific news, news from the companies, institutes and universities, reports on actions of MIDEM Society and its members as well as other relevant contributions are also welcome. Each contribution should include the following specific oomponents: 1. Title of the paper and authors' names. 2. Key Words and Abstract (not more than 250 words). 3. Introduction, main text, conclusion, acknowledgements, appendix and references. 4. Authors' names, titles and complete company or institution adress. General information 1. Authors should use SI units and provide alternative units in parentheses wherever necessary. 2. Illustrations should be in black on white or tracing paper. Their width should be up to 7.5 or 15 cm. Each illustration, table or photograph should be numbered and with legend added. Illustrations, tables and photografphs are not to be placed into the text but added separately. Hower, their position in the text should be dearly marked. 3. Contributions may be written and will be published in any Yugoslav language and in english. Authors may send their files on formatted diskettes (360 or 1,2) in ASCII, Wordstar (3.4 or 4.0), word, Wordperfect as text will be formated in Ventura 2.0. Graphics may be in HPL, SLD (AutoCAD), PVX or IMG/GEM formats. Papers will not be accepted unless two copies are received. Authors are fully responsible for the content of the paper. Manuscripts are not returned. Contributions are to be sent to the address: Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 61000 Ljubljana, Slovenia 229 Informacije MIDEM 23(1993)4, Ljubljana 4 Seznama v tujih jezikih 4.1 Abcccdni seznam izrazov v angleškem jeziku Alloyed junction 2.1.8 Avalanche breakdown (of semiconductor PN junction) 2.1.16 Avalanche rectifier diode 2.2.11 Avalanche rectifier diode, controlled 2.2.12 Avalanche voltage 2.1.17 B Backward diode 2.2.10 Bipolartransistor 2.2.14 Breakdown (of a reversebiased PN junction) 2.1.15 Breakdown (of a semiconductor PN junction), avalanche 2.1.16 Breakdown (of a semiconductor PN junction), thermal 2.1.18 Breakdown (of a semiconductor PN junction), Ze-ner 2.1.19 Breakdown voltage 2.4.3 Diode, controlled avalanche rectifier 2.2.12 Diode, photo 2.2.8 Diode, semiconductor 2.2.2 Diode, semiconductor rectifier 2.2.5 Diode, signal 2.2.9 Diode, tunnel 2.2.7 Diode, unitunnel 2.2.10 Diode, voltage reference 2.2.3 Diode, voltage regulator 2.2.4 Direction (of a PN junction), forward 2.3.3 Direction (of a PN junction) reverse 2.3.4 Effect, Hall 2.1.23 Effect, photo-electric 2.1.25 Effect, photovoltaic 2.1.26 Effect, tunnel 2.1.21 Electrode (of a semiconductor device) 2.3.2 Equivalent thermal network 2.4.6 Equivalent thermal network capacitance 2.4.7 Equivalent thermal network resistance 2.4.8 Extrincic semiconductor 2.1.2 O oc < Q CO SZ O GC LU Capacitance,equivalent thermal network 2.4.7 Capacitance, (of a semiconductor device), thermal 2.4.5 Carrier p.1.11 Carrier (in a semiconductor region), majority 2.1.12 Carrier (in a semiconductor region), minority 2.1.13 Case temperature 2.5.1 Cell, photo conductive 2.2.19 Cell, photovoltaic 2.2.20 Charge carrier (carrier) 2.1.11 Constant, Hall 2.1.24 Controlled avalanche rectifier diode 2.2.12 Cut-off frequency 2.6.1 D Depletion layer 2.1.14 Device, semiconductor 2.2.1 Diffused junction 2.1.9 Diode, avalanche rectifia 2.2.15 Diode, backward 2.2.10 Field-effect transistor 2.2.16 Floating voltage 2.4.2 Forward direction (of a PN junction) 2.3.3 Crown junction 2.1.10 II Hall coefficient (of a semiconductor) 2.1.24 Hall constant 2.1.24 Hall effect 2.1.23 I Imjjcdance. îcamienf thermal 2.4.9 Impedance under pulse conditions, thermal 2.4 10 230 Informacije MIDEM 23(1993)4, Ljubljana K S Q DC < O CO s >C/3 O _l O tr LU h- Karakteristike 2.6 Krmiljena plazovna usmerjalna dioda 2.2.12 Legirani spoj 2.1.8 M Manjšinski nosilec (v polprevodnike m pod ročju) 2.1.13 Mejna frekvenca 2.6.1 N Napetost plazovnega preboja 2.1.17 Nečistotni polprevodnik 2.1.2 Nosilec elektrine 2.1.11 O Osiromašeni sloj 2.1.14 Plazovna usmcijalna dioda 2.2.11 Ptazovni preboj 2.1.16 PN-spoj 2.1.7 Poljski transistor 2.2.16 Polprevodnik 2.1.1 Polprevodnik tipa I 2.1.5 Polprevodnik tipa N 2.1.3 Polprevodnik tipa P 2.1.4 Polprevodniška dioda 2.2.2 Polprevodniška komponenta 2.2.1 Polprevodniška usmcijalna dioda 2.2.5 Polprevodniški element 2.2.1 Polprevodniški usmeijalni stavek 2.2.6 Preboj (inverzno polariziranega PN-spoja) 2.1.15 Prcbejna napetost 2.4.3 Prehodna toplotna impedanca 2.4.9 Prepustna smer (PN-spoja) 2.3.3 Priključek (polpievodniškega elementa) 2.3.1 R Referenčna dioda 2.2.3 Selcnski omejevalnik prenapetosti 2.2.21 Signalna dioda 2.2.9 Splošni izrazi 2.3 Splošni izrazi in dcfinicijc 2 Spoj 2.1.6 Stabilizacijska dioda 2.2.4 Temperature 2.5 Temperatura okrova 2.5.1 Temperatura skladiščenja 2.5.2 Temperaturni lazbremenitvcni faktor 2.5.3 Termični preboj (polprevodniškega PN-spoja) 2.1.18 Tiristor 2.2.18 Toplotna impedanca pri impulznem obratovanju 2.4. IG Toplotna kapaciteta (polpievodniškega elementa) 2.4.5 Toplotna upornost (polprevodniškega elementa) 2.4.4 Transistor 2.2.13 Transistor na poljski pojav 2.2.16 Tunelska dioda 2.2.7 Tunelski pojav 2.1.21 Tunelski proces (v PN-spoju) 2.1.22 U Unipolarni transistor 2.2.15 Unitunelska dioda 2.2.10; Večinski nosilec (v polprcvodniškem področju) 2.1.12 Virtualna temperatura 23.4 Vlečeni spoj 2.1.10 Vrste elementov 2.2 Zaporna napetost 2.4.1 Zaporna smer (PN-spoja) 2.3.4 Zxnerska napetost 2.120 Zenerski preboj (polprevodniškega PN-spoja) 2. !.ll> 231 Informacije MIDEM 23(1993)4, Ljubljana Tnpunop 2.2.18 ToiunmcKa HMiic^anca 3a HMnynaia cipyja 2.4.10 ToiuiHMCKa HMncAaiica, npcojuia 2.4.9 ToiunmcKa KaiiaiiHntBHOCT, cKnnna;iei[Tiia 2.4.7 ToiuiKHCKa Kaiiamininuocr (na noiiynpono/uiHiKH cjicmcht) 2.4.5 ToiuimiCKa omopHOCT, CKniiuarieiiTna 2.4.8 ToimmicKa omopnocr (na nonyiipo»oAHn>(Kii e/rc-MCIIT) 2.4.4 ToiuimiCKa iiieMa, CKBHBajieiiTiia 2.4.6 Toiuiiiiickh (Jiataop na naMajTyBan>c na rpaimwiiara moki i Oct h a ancHiiaiuija 7£3 Tpai)'jnaop 2.2.13 Tpainunop, Gmionapcii 2.2.14 TpamHCTop, noncB 2.2.16 Tpaii3iiaop, yj(HnonapeH 2.2.15 TpaimtcTop, (jioro 2.2.17 Tyneji-rtno/ia 2.2.7 Tynonnpait>e, eificKT 2.1.21 Tyne/iKpaibc (hio PN—enoj) 2.1.22 K y yiiniio;iapcH rpaj(3Hcrop 2.2.15 aiciop na naMa^yuajije na rpamrmaTa mohioct h a nitcu- namija, Ton^imcKii 2.5.3 Ooro-Aiioiia 2.2.8 CKHOCJIC KTpltHCH e(j)CKT 2.1.25 OoToiianoiicKa Kemija 2.2.20 OoTonanoiicKM ceKT 2.1.26 OoTonpono;uia KejiHja 2.2.19 oTo-rpainiiaop 2.2.17 pcKBcnunja, rpanimna 2.6.1 X Xohob ecKT 2.1.23 xoiiob KOCtjjliUHCHT 2.1.24 Ul Ke/nija, (¡»rananoHCKa 2.2.20 Ke/iHja, (j)0r0np0B0AHa 2.2.19 IiieMa, eKBHBa/iennia rorunuicKa 2.4.6 3.4 Abecedni seznam izrazov u slovenskem jeziku Q DC < Q B Bipolarni transistor 2.2.14 D Difuzijski spoj 2.1.9 Drsna napetost 2.4.2 Fotoelcktrični pojav 2.1.25 Folonnpctoslna celica 2.2.20 Fotonapelostni pojav 2.1.26 Fotoprevodna celica 2.2.19 Fototransistor 2.2.17 C/3 iZ >co O _j O CE LU Ekvivalentna toplotna kapacitcta 2.4.7 Ekvivalentna toplotna upornost 2.4.8 Ekvivalentno toplotno vezje 2.4.6 Elektroda (polprevodniškega elementa) 2.3.2 Fizikalni izrazi 2. £ Fotodioda 2.2.S Ii Hallov koeficient (polprevodnika) 2.1.24 Hallov pojav 2.1.23 Inveiziu njprtosl 2.-4,J Inverzna smei (PN-spoja.) 2.3.4 foiazi zi mijpw: wtfdmKMJ:! ¿.m kjrifcltcmlikc 2.4 232 Informacije MIDEM 23(1993)4, Ljubljana K" fl Q DC < Q < h-C/) >cO o ]Ca!taiiiii,rjii«Hocr, CKBMBanciinia TorunuiCKa 2.4.7 BfatiniiirraiMSiOCT («a nojrynpoiioAHH'iKii ciic»cini]), um/mucKa 2.4.5 KociJimuicHT(na no;iyirpo»oumtK) Xojioii 2.1 Kyiaiune, TCMricparypa 2.5.1 JI JlaiiHiicKii iianoii 2.1.17 JlanmicKM iipoSnB (na nojiynpouo;uiii>iKji PN-cnoj) 2.1.16 JleC/te'iKH nanon 2.4.2 Jlempan cnoj 2.1.8 H Hanon, 3enepoi> 2.1.20 Iianon, nn»ep3en 2.4.1 Iianon, iiaiiiniCKU 2.1.17 Hanoii, ncGneiioi 2.4.2 Haricm, npo5iincn 2.4.3 IlacoKa (na PN—enoj), juipeicnia 2.3.3 llacoKa (na PN-cnoj), nnnepaia 2.3.4 Haco'rynaqKaA'lOAa,nonynpoDO/miiMKa 2.2.5 Haco'iyuanKa fliioflaco Konrpo/nipan naniinCKii npo- 6hb 22.12 Ilaco'iyBaHKa nxofla co jiaBimcKii npo5tm 2.2.11 Haco'iyna'iKii SnoK, nonynpoBOAUHMKii 2.2.6 Hocmen 2.2.11 Hocincnii (bo neKoja oSnacT na nonynpoboaniikot), oaiOBun 2.1.12 Hochicjth (bo neKoja o5nan na nariynponoAniiKOT), aiopeAHH 2.1.13 Hochtcji (na e/ieicrpiiuiiict) 2.1.11 O On u oni i II k na npciianonn, cencncKn 2.2.2 1 OcupoMaiuen cnoj 2.1.14 OciioniiH iiociitcjih (bo neKoja ofmaci n.i nonynpoiio-flnnKoi) 2.112 Oniopnocr, eMtiuiajieinna loiuutiiCKa 2.4.8 Oinopnoei (na nojiyiiponoumi'iKM cjieMon), ionium -cK-a 2.4.-1 fflbili» TJKBOHCH)p 2.2. U> [loiiyi3p(>Hontink 2.1.1 IIon>iipoBo«iniK o/t I t i f j i 2.1.5 IlonynpoBonnnK on N-inn 2.1.3 Ilojiynp0B0Aiii(K oa P-- nm 2.1.4 riOJiynpOBOAHHK, IipHMCCCli 2.1.2 ri0Jiyiip0B0AnH'iKa A»oaa 2.2.2 nonynpoeoAiin'fK:i nacowyfia'iKa nnoua 2.2.5 Il0jrynp0B0AHH'iKn cJieMcuT 2.2.1 no;iynpoBOAnH'iKM nacoiyDa'iKJi 6/iok 2.2.6 ripeMHH (enoj) 2.1.6 ilpeoAHa TonnitncKa HMnenanca 2.4.9 ripH}cnyM0K (na no/iynpoBonmfMKii cneMeiu) 2.3.1 ripiiMcceii noJiynpono;uutK 2.1.2 Hpo6nBen iianon 2.4.3 Hpo6iiB (na niiBcpjiio nonapiniipaii PN-cnoj) 2.1.15 IIpo6nB (na nonynpoBOAiiii'iK)i PN - enoj), 3encpon 2.1.19 IIpo5nB (na no;iynpoDo;uniMKn PN cnoj), naunncKii 2.1.16 ripofiHB (na rio;iynpoiionHiie, Te,Miicpaiypa 2.5.2 C/ioj, ociipoMamen 2.1.14 Cnoj, nmfonAHpan 2.1.9 Cnoj, iDBncKyBan 2.1.10 Cnoj, ncnipan 2.1.8 Cnoj, PN 2.1.7 Cnoj (npemm) 2.1.6 CnopeniiM nociiienn (bo neKoja oCmkict na nonynpono-AiuikoiI 2.1.13 TeMiicpaiypa, BHpTyeniia 2.5.4 TcMJiepaiypa, iinaTpcinna cKBimanennia 2.5.4 TcMiicpaiypa na KyKiuineio 2.5.1 Te.Miieparypa na cKJia'Uipuibe 2.5.2 DC LL1 H- 233 Informacije MIDEM 23(1993)4, Ljubljana Tranzistor, foto 2.2.17 Tranzistor s efektom polja 2.2.16 Tranzistor, unipolarni 2.2.1 S Tuneliranje (kroz 1'N-spoj) 2.1.22 Tunelska dioda 2.2.7 Tunelski efekt 2.1.21 U Unipolarni tranzistor 2.2.15 UnutraSnja nadomjesna temperatura (virtualna peratura) 2.5.4 UskladiStenje, temperatura 2.5.2 V Večinski nosioci (u nekom području poluvodiča) 2.1.12 Virtualna temperatura (unutrašnja, nadomjesna temperatura) 2.5.4 Z Zaporni (inverzni) smjer PN-spoja 2.3.4 - Zenerov napon 2.1.20 Zenerov proboj (poluvodičkog PN-spoja) 2.1.19 3.3 Azlmčni seznam izrazov v makedonskem jeziku E BecnpHMeceM nonynpoHo;i,hmk 2.1.5 Gmionapeii TpaiiJHcrop 2.2.14 B BnpiyeJina TeMnepaiypa 2.5.4 BnaTpeLLDia eKB»Banennia TeMiieparypa 2.5.4 rpanHwa mokhoct na RncraianMja, rorijinncKH 4>aKTop . na naMajiyBaibe 2.5.3 rpannwiia <{ipeKBenmija 2.6.1 liiHoiyncnna 2.2.10 EKiinBajiennia TCMiiepaiypa, Bnarpcuma 2.5.4 EKflUBajieHTHa ToiniimcKa KaiiauuniiiHocr 2.4.7 EKniiBa;icinna TotuinnCKa oniopiiocT 2.4.8 EKDHBancfiina roii/uiHCKa mana 2.4.6 EjieKipo;;a (na iiojiynpobofoihmkm c/icmcut) 23.2 EneMeiiT, nojiyiipoBOAHHMKH 2.2.1 Ee 2.1.21 EcfteKT, 4»oroe;ieKTpHHeH 2.1.25 E(j>eKT, (})OTonano!(CKn 2.1.26 EijieKT, XO/IOB 2.1.23 O DC < Q z: < i-00 >C/) O _j O z en LU h- R ¿],HOfl,a, eAHoryife/ma 22.10 flnofta 3a petjiepcmeu nanoit 2.2.3 Jliio.ua 3a craBiunnaiUija na nation 22.4 XfHOiia, nonyitpoBOAiiirtKa 2.2.2 iJ,MOAa, citniajiita 2.2.9 HnoAaco KOHTpo^iipan JiannncKit upoGitn, naco'tyiia-MKa 2.2.12 Jfnofla co JiamtHCKi! npo5im, nacowynaMKa 2.2.1 1 JInoua, Tynen 2.2.7 Jlwofla, 4»ro 2.2.8 Jliipck-rna H.ncoKa (na I*N -ciioj) 233 ilmjiyiuutpan enoj 2.1.9 3enepoB liairon 2.1.20 3enepOB npoSiiu (na n0/iynp0B0AiiitwKH PN-crioj) 2.1.19 M kbi»ieKyuan aioj 2.1.10 llMiienanca 3a iiMity/icna CTpyja, ToiunmcKa 2.4.10 llMiic.nanca, npeoniia TonjnoiCKa 2.4.9 HiiBepjen iianOH 2.4.1 HMBepTna Hacoica (Ha PN-enoj) 2.3.4 234