UDK 621.3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 23(1993)4, Ljubljana AREVIEW OF ADVANCED WET CLEANING Marijan Maček Faculty of Electrical and Computer Engineering Ljubljana, Slovenia Key words: semiconductors, integrated circuits, mass production. quality assurance, ultralarge scale integration, silicon wafers, wafer surface, surface microroughness, metal contamination. particie contamination, ultraclean surface, wet cleaning, RCA cleaning method, literature survey Abstract: ln order to manufacture ultralarge scale integrated devices with high performances and reliability in large volume the wafer surface must be kept ultraclean all the time. At present the only suitable method is wet cleaning. Advanced wet cleaning methods were developed from standard RCA cleaning based on hydrogen peroxide mixtures. New efforts were concentrated to prevent silicon surface etching during RCA cleaning, and to prevent hydrophobic Si surface contamination in diluted HF or buffered HF solutions and/or during subsequent DI water rinsing. In this article a review of the latest results in improved wet chemical cleaning is presented. Pregled sodobnih postopkov mokrega čiščenja silicijevih rezin Ključne besede: polprevodniki, vezja integrirana, proizvodnja množična, zagotavljanje kakovosti, stopnja integracije ultravisoka, rezi ne silicijeve, površine rezine, mikrohrapavost površine, kontaminacija s kovinami, kontaminacija z delci, ultra čistost površine, čiščenje mokro, RCA metoda čiščenja, pregled literature Povzetek: Za masovno izdelavo kvalitetnih in zanesljivih integriranih vezij z ultravisoko stopnjo integracije je potrebno doseči dobro kontrolirano proizvodnjo. Zato je ključnega pomena skrajna čistost površine rezine. Trenutno je edina primerna metoda, ki omogoča ustrezno čiščenje, mokro kemijsko čiščenje. Izpopolnjene metode temelje na standardni metodi RCA, ki imajo za osnovo kisle in bazične raztopine vodikovega peroksida. V zadnjem času so napori usmerjeni proti preprečitvi jedkanja površine v peroksidni raztopini amonijevega hidroksida in preprečitvi kontaminacije hidrofobne površine Si rezine med jedkanjem vrazredčenem HF, oz. pufrskem jedkalu, in med poznejšim izpiranjem v vodi. Clanek podaja pregled najnovejših dosežkov na področju izpopolnjenega čiščenja silicijevih rezin. Introduction The importance of clean Si substrate surface in the fabrication of semiconductor devices has been recog- nized since the early days of semiconductor manufac- turing in the 1950s. As the requirements for improved device performances and reliability in the era of VLSI and ULSI technologies have become more and more stringent, methods to avoid contamination and pro- cesses to generate ultraclean surfaces have become critically important. Now it is gene rally accepted that over 50% of yield losses in modern IC fabrication is due to the microcontamination. Especially detrimental effect have metal impurities if present on the wafer surface; during high temperature processing they might diffuse into the wafer interior. Another problem are the organic contaminants and native oxide that can prevent selec- tive epitaxy. Therefore, microcontamination must be minimized before every high temperature step (oxida- tion, diffusion, epitaxy). Similarly, contaminants (espe- cially particies) must be removed from the surface be- fore and/or after low temperature steps (CVD, dopant implantation, plasma processes). Last but not least, wafers must be postcleaned after photoresist stripping at every mask level. Throughout the history of the semiconductor manufac- turing many wafer cleaning techniques have been de- veloped and been used. But the wet process is stili 275 employed to clean the Si wafer due to its remarkable characteristics: it causes no damages on the wafer and it is effective at low temperature. Foundations of the modern wet cleaning were established by W. Kern et al. in 1965 in RCA and published in 1970, Ret. 11/. The original two step cleaning process did remain basically unchanged for more than 25 years. The state of the Si surface in modern technologies with critical dimensions reduced to the submicron and even sub-half micron level is becoming far more important than in the 1970s. With the introduction of Total Reflec- tion X-Ray Fluorescence Spectroscopy (TRXRF) forthe surface contamination analysis, Scanning Tunneling Microscopy (STM) and Atomic Force Microscopy (AFM) for the surface microroughness determination, new standards for the state of the silicon surface have been established. Besides cleanliness of the surface, micro- roughness becomes increasingly important factor in the 1990s. Wet cleaning procedure During the early stage of semiconductor manufacturing (until the 1970s), the Si wafer cleaning was based on the organic solvent extraction, boiling nitric acid, aqua regia, concentrated hydrofluoric acid and mixtures of sulfuric-chromic acid. All of the methods mentioned had Informacije MIDEM 23(1993)4, str. 275-283 some problems, such as contamination with chromium orwaste disposal in the case of the sulfuric-chromic acid mixture. Generally speaking the chemicals had high level of impurities and particles and so tended to con- taminate the surface of the wafer. Particles from the wafer SUrface were removed by ultrasonic treatment in detergent solution or by brush scrubbing. Malfunction of these methods can cause serious problems. Successful immersion wet cleaning of the wafer surface consists of three basic steps: removal of organic contaminants oxide removal removal of alkaline and metal contaminants. Two different approach es have been adopted: a) RCA process mentioned in the introduction with so called oxide terminated silicon surface, b) dilute HF cleaning process with hydrogen terminated silicon surface. Both approaches have pros and cons. RCA cleaning is relatively complicated (3 different solutions, instability of the solution due to decomposition of H202 at elevated temperatures, problems of cross contamination, Si sur- face etching, Ref. 12/) but yields the Si surface passi- vated with 1.5 nm of relatively clean native oxide. On the other hand, the dilute HF cleaning is relatively simple and generates chemically cleaner bare silicon surface. Unfortunately this clean hydrophobic surface can be easily contaminated with particles and organic material from rinsing DI water 13,4/. It was reported in Ref. 16/that the organic contamination can carbonize at high tem- peratures in nonoxidizing atmosphere and form f3-SiC that can start polycristallinic growth during epitaxial de- position. Even contamination with phosphorous from DI water with about 100 ppb of TOC were reported, Ref. 14,5/. RCA cleaning Original RCA process for bare and oxidized silicon wa- fers was based on a two step oxidizing and complexing treatment in hydrogen peroxide solutions: The first process step was designed to remove organic surface film by oxidative breakdown and dissolution in hot mixture of water diluted ammonium hydroxide and peroxide (10 min, 5:1:1 H20:H202:NH40H, 75-80oC, known as SC-1 or APM). During this step, group IB and IIB metals as well as heavy metals Au, Ag, Cu, Ni, Cd, Zn, Co and Cr are dissolved and removed by complexing by ammonium hydroxide; so called amino complexes are formed (Cu(NH3)4 +2 in case of copper). ln the second step the rinsed wafers are exposed to a mixture of hot water diluted hydrogen peroxide and 276 M. Maček: AReview of Advanced Wet Cleaning hydrochloric acid (10 min, 6:1:1 H20:H202:HCI, 75- 80°C, known as SC-2 or HPM). Durin~ this step the alkali ions and cations such as AI3+, Fe+ and Mg+2 that in NH40H form insoluble hydroxides are removed. The second step is also designed to eliminate all metallic contaminants that were not entirely removed during the first alkaline cleaning step. After the introduction in the 1970s the original scheme have been modified. Optional sulfuric-hydrogen perox- ide (10 min, 2:1 H2S04:H202, 100-130oC known as SPM) mixture as a first step to remove gross organic contaminants was introduced. The contaminated hy- drous oxide formed during APM cleaning can be removed during another optional step by etching off in diluted or buffered HF (DHF, BHF) before HPM treat- ment. However, unless ultra pure and particles free point-of-use ultrafiltrated HF is used, more harm than good can be done. Highly reactive HF treated Si surface can be easily contaminated with organic contaminants and particles from HF solution, DI water and air. Contrary to the APM solution, HPM do es not eliminate these contaminants. If the preclean is used, than DHF step can not be harmful, since APM removes all the contami- nants. On the other hand, the level of contamination in APM can be significantly reduced using ultra pure per- oxide with low Al and stabilizer conterIt. Bare silicon waters after HPM treatment should not be exposed to DHF, since the clean passivated surface would be de- stroyed, and could easily be recontaminated. Original immersion technique using fused silica beakers and overflowing quenching with DI water to terminate the reaction have been changed during the years to more refined automated wet bench immersion systems (for instance Ref. 17/). There are also tendencies to change the original immersion technique to centrifugal spray cleaning 18/, megasonic cleaning 19,101, closed system chemical cleaning liii, and dry (vapor) wafer cleaning 112/. Literature survey From the 1972, when Henderson 161 published that HF cleaning after HPM can produce roughening and carbon contamination of the surface during vacuum heating, up to now many independent articles verifying the effective- ness of RCAcleaning have been published. For instance Meek et al. 1131 showedthat APM/HPM cleaning is much more effective removing Cu and Ca as HF-HN03. Gluck 1141 repo red in 1978 that desorption efficiency of APM for gold is better than efficiency of HPM, but the sequen- tial treatment APM+HPM is the most effective method to remove gold in high (10 14 atlcm3) concentration. In 1983 Phillips et al. 1151 compared the efficiency of various aggressive reagents including aqua regia, hot fuming HN03, H2S04-H202, APM and HPM. The most effective procedure to clean with inorganic materials purposely contaminated wafers was SPM followed by APM/DHF/HPM sequence. Their results were confirmed M. Maček: AReview of Advanced Wet Cleaning in 1986 by Becker et al in Ref. 116/. It was also shown that the reversed sequence DHF/APM/HPM is far more effective for partide removal but slightly less for metal ion removal as the original one. RCA deaning generates very clean surfaces as long as very dean chemicals are used. It was reported 117,181 that high Al contamination found on the wafer surfaces after APM and five times less after HPM dean, origin- ated from even sub ppm contaminated hydrogen perox- ide. In 1989 Morota et al. 119,201 postulated the most appropriate model for contamination of the silicon sur- face during cleaning in APM, HPM and DHF solutions. The absence or presence of the Si02 film on the surface affects adsorption of metais. Desorption of Al and Fe was most effective with DHF, and desorption of Cu and Cr with HPM. Metals with high enthalpy of oxide forma- tion adsorb on oxidized Si surface by oxide formation (Al, Cr, Fe), whereas metals with high electronegativity (Au, pt, Ag) deposit electrochemically onto the bare Si surface. Tables I and 1/ represent tendencies of metals to precipitate directly onto the silicon (1) and to form oxide (II) . Parti cie removal efficiency was studied by many auth- ors. They all agree that reversed sequence HF/APM/HPM generate the cleanest surface, Ref. 13,4,16,211. Later Ohmi et al./221 showed that 1 : 1 :5 APM efficiently removes parti cie s larger than 0.5 ~lm, but increases the number of smaller ones, measured as a haze, and latter recognized as surface etching. APM solution with lower NH40H concentration effectively removes all particies, Ref. 122/. Negative aspects of RCA cleaning are etching of the silicon surface in alkaline solution APM. Up to the end of 1980s measurements did not reveal any attacking of the Table I Electronegativity of metal s according to Ref. 1301. Element Electron Nega- Half-Cell Reduc- - tivity (Pauling) tion Potential NI Au 2.4 1.68 11 Pt 2.2 1.19 Ag 1.9 0.80 Hg 1.9 0.79 Cu 1.9 0.34 Si 1.8 0.10 Tendency to Pb 1.8 -0.13 be precipi- tat ed on bare Sn 1.8 -0.14 Si Ni 1.8 -0.23 Fe 1.8 -0.41 Zn 1.6 -0.76 .. -~ 277 Informacije MIDEM 23(1993)4, str. 275-283 Al 1.5 -1.66 Mg 1.2 -2.34 Ca 1.0 -2.87 Na 0.9 -2.71 K 0.8 -2.92 Table II Enthalpy of oxide formation according to Ref. 1301. .6.H < O, (Heat Releasing Process) Oxide 6H25 293 IkJ/mol1 - AI203 -1675 Cr203 -1130 Cr02 - 583 Cr03 - 580 11 Fe304 -1118 Fe203 -822 Si02 -909 Tendency to be in- NiO -241 cluded into oxide film CuO -155 silicon surface as long as the hydrogen peroxide con- centration was not depleted to less than 75% of the original recommended concentration, Ret. 14/. However, severe silicon surface roughening was reported in Ret. 1241 for cleaning in water diluted NH40H, less severe for BHF and none for APM. On the other hand, it was reported that APM (80°C, 5:1:1) solution slightly etch the Si02 and SbN4 films. Measured etch rates were from 0.13 141 to 0.4 nrnlmin/25/forthermal Si02film, and 0.09 141 to 0.2 nrnlmin 1251 for CVD deposited Si3N4 film. Extensive work of Grundner et a/. 126,271 showed that the hydrophobic silicon surface state after the DHF dip is due to Si-H, some Si-CHx and Si-F groups, while hydrophilicity is caused by Si-OH groups. It was also shown that the contact angle of a water droplet is in good correlation with the silicon surface status. Typically, for the hydrophobic surface the angle is higher than 80, wheras forthe hydrophilic one is less than 20. Generally, the higher is the contact angle after cleaning, the better is quality of the thermally grown oxide. Present understanding $lf Si surface cleaning Any effective cleaning must left undamaged, smooth, ultraclean Si surface completely free from particies, organic materials, metallic impurities, adsorbed mole- cule impurities and native oxide. The very first step of any wafer cleaning must be the removal of organic Informacije MIDEM 23(1993)4, str. 275-283 surface contamination preventing full exposure of the surface to the action of subsequent cleaning. This is mostly done by SPM and partially by APM cleaning. Control of the first technique is quite poor due to high temperature of the mixture and its composition insta- bility. In beginning of the 1990s the new, low temperature Ozone-Injected Ultrapure Water techniques have been developed, Ref. /36/. It is expected that it will replace the old SPM solutions due to high organic impurity removal efficiency, better controllability of the process and less chemical waste. Removal of metailic impurities Metallic impurities on the wafer surface can cause irre- versible damage on semiconductor devices such as increase of p/n junction leakage current, poor dielectric breakdown voltage, and a decrease of carrier lifetime. Results from Figs.1 a and b show that for the modern sub and sub-half micron ULSI devices the contamination of the silicon surface stlOuld be kept be low 1011 atlcm2 De!. Density and Life Time vs. Surf. Contamination a) F. b) Cu 11 12 la 10 10 10 SUfface Metal Conc. lat.lcm'J J 10 c: ii' 2 -j 10 3 " Figure 1: Dependence of the surface defects (saucer pits: SP, oxidation stacking fau/ts: OSF), and the recombination carrier /itetime on the surface metal concentration after the two step annealing (11Sd'CI1h N2 + 1000oCI16h 02); (a)-Cu and (b)-Fe, Ref. 1281. in orderto prevent these damages, Ret. /28/. Such a low surface concentration can be assured only with ultra clean chemicals (contamination below 10 ppb), as shows Fig.2. This limit is significantly lower than it was obtained at the end of 1980s. Concentration of typical unwanted metals (most frequent are Fe, Al and Ca) in native oxide for the commercially available wafers was measured in the range between 10 11 to 1013 atlcm2 , Ret. /29/. In the same range was the contamination measured after plasma etching and ion implantation /37/. APM step of the conventional RCA cleaning can hardly reduce the contamination to the values below 1011 atlcm2 . The reason forthis is the tendency of Al, Cr and Fe to form oxides (Table II) on the silicon surface during APM cleaning. The tendency for contamination is directly related to the cleanliness of the APM (especially hydrogen peroxide) chemicals. As shows Fig.3, Ret. /31/, subsequent HPM treatment reduces the unaccept- 278 ~ <.> -.... .-ro <.5 c o o ro .- (IJ :2 (IJ () ro "-.... :;, (f) M. Maček: AReview of Advanced Wet eleaning Surfaee Metal Cone. vs. Ion Cone. 10 14 r------------------------------, 10 13 10 12 10 11 10 10 • • o Cu [§l Without Cont. 10 2 10 3 Metal Ion Cone. [ppbl 4 10 Figure 2: Re/ationship between the surface metal concentration measured by ASS and metal concentration in the contaminated so/ut/on. Ref. 128/. able high iron level to below XRTRF detection limit 3x1 010 atlcm2. Anyway, the most effective way to keep the contamination as low as possible is to use ultra pure chemicals and/or to remove the contaminated thin oxide by the controversial etching in water diluted HF. Highly electronegative metal ions (Cu ... ) are directly adsorbed onto the Si surface (Table II). They can be only partially removed by HPM step of RCA cleaning which c G c; c '" " u E c u o , U ;o '" s! u ," S :J VJ c 2 Figure 3: Iron Surface Concentration vs. Ammonia Content in X:1:5 ,,75°C 1.5 1.0 0.5 / Ultra High Purlty APM o 0.2 0.4 0.6 0.8 1.0 Ammonia Con!en! X:1:5 /ron surface concentration measured after APM cleaning step with chemicals of ditferent purity leveis. /ron contamination is signiticanl even after ultra high pure APM clean. Final HPM cleaning is essential to get very clean surface with i{sn c?nt~mination below detection limit 3x10 at.,cm. Ref. 1311. M. Maček: AReview of Advanced Wet Cleaning leaves sometimes unwanted passivated suriace. In a case where the hydrogen terminated suriace is ne ed ed the last step must be DHF cleaning. Unfortunately it is ineffective to remove directly adsorbed ions like Cu. Even more, the surface can easily be contaminated with these metals (as well as with particles and organic contaminants) in contaminated conventional DHF (Fig. 2) and/or during final DI water rinse. Cross contamination can be prevented and metallic impurities removal can be enhanced by addition of H202 to the DHF. Fig. 4 shows that improved DHF (0.5%HF + 1 0%H202) almost completely prevents cross contami- nation of pand n silicon suriace in up to 1 ppm contami- nated solution, but not of the doped n+ and p+ suriaces. It is also important to prevent contamination during BHF etching of thick oxide layers. The addition of fluorocar- bonated (FC) suriactants to improve wetability of the Si suriace al so reduces the cross contamination of p, n and p+ surfaces to be low 1011 atlcm2 as shows Fig 5. Only contamination on n + surfaces can not be prevented in BHF63 (6%HF+30% NH40H) contaminated with 10 ppb of Cu. Once the surface is contaminated it is very important to clean it. Besides the mentioned RCA cleaning very good results on n and p type wafers can be obtained with improved DHF, while BHF solutions even with added suriactants are not effective. Fig. 6, Ret. /30/, shows the ability of different solutions to clean copper contami- nated n and p wafers (10 min dip in 1 ppm contaminated water increase suriace concentration to 1013 - 1014 i0 13 N E 10 12 o ...... ...; (Ij c o CI) (!) .!: "o 10 11 « ::J o Figure 4: Cu Segregation at Si Sur face I r n n~/p _ n~/n III p + p+/p • p~/n 10 min dlp 5%HF/10%H 2 0 2 , / ;" / / , -'------..... --- • 0.1 10 100 1000 Cu Concentration [ppbJ Copper segregation at six different Si surfaces in contaminated 0.5% HF+ 10% H2CJ;> solution at room temperature, Ref. 1371. (a) n, n" on n, n+ on p, (b) p, p+ on p, p+ on n Si wafers. 279 E o ...... ....: (Ij c o CI) (!) .!: "o « ::J O Informacije MIDEM 23(1993)4, str. 275-283 BHF63 Cu 10 ppb 10 15 r . ____________________________ --. Dipping Time 30 min ! v n : -5 n' ln n '/p -. p .-. p'/p • p'/n o 50 100 150 200 250 Surfactant Cone, [ppmJ n Hydrocarbon Surfactant, IIIuminated Figure 5: Cu segregation at six different Si surfaces in contaminated (10 ppb Cu) BHF63 with hydrocarbon surfactant dependent on surfactant concentration, Ref. 1371. at!cm2). Obviously, DHF (0.5% HF), as well as BHF (A is the conventional solution with the NH4F concentration of 35-38%, and B is advanced solution: 17% of NH4F, 0.17%HF and 400 ppm of a surfactant) do not remove copper from the surface. APM and HPM solutions lower the Cu surface concentration to the 1011 level. The most effective is cleaning in water diluted solution of 0.5%HF+10%H202 for more than 1 min at room tem- oerature. In this way high Cu concentration can be Removal Effect of Cu alter 10 min Dip in 1ppm Cu contaminated DI Water 14 10 ·0 :;; a) <;: b) 9 ", lj' • i '? i li i 13 ~ lG ! • 'O ..; l. , E c ~ c j ~ E E 12 " Q 10 '" . '" • I , • 11 ~ ;, ,2 d. 10 ~ tO " ';1 ::> ::i '" '? ') o Q c.. :: ,', c, o< '" le l: c či ol ti. N o ::i " « ep l: o a. a. o 0; N "" l: "- "- l: ;ro I I "- "- ro ol ~ I I ~--: 8EFORE B AFTER TR. J Figure 6: Copper surface contamination removal efficiency for several c/eaning methods: (a) n-type, (b) p-Iype (100) Si surface, Ret. 130/. Informacije MIDEM 23(1993)4, str. 275-283 reduced to the acceptable level below 1011 at!cm2 in a relatively short time, Ref. /37/. Unfortunately advanced OHF solution with hydrogen peroxide does not remove the copper-like metals from n+ and p+ surfaces. At present, there is no other choice but to use the APM+HPM cleaning. Partiele adhesion and removal Efficiency of APM and other alkaline solutions to remove particles from the wafer surface has been known for almost 10 years. The most comprehensive explanation of the particie removal mechanisms have been postu- lated by Itano et al. /32/. According to their results the particle deposition (or removal) depends on the pH value of the solution. With increased pH value, silicon etch rate increases, whereas deposition rate decreases. For pH values higher than 10, the haze count increases very abruptly due to irregular surface etching. Figure 7 shows particie removal efficiency for APM solutions with different NH40H concentrations (X:1 :5) at 80°C, Ref./32 ,33/. The highest efficiency for 10 min cleaning is obtained in 0.05:1:5 solution. For concentra- tions higher than 0.1:1 :5, efficiency drops due to the extensive etching. Parti cie removal efficiency depends on etching of the silicon surface. Fig. 8 shows etch rates as measured by Hclle and Partiele Rernoval Efficiency Hale ( O.5um, Partiele ) O/5um r----------.------- 100% ~ " ..... /. .. D E , (\) 80% er: "" To' 2 .. .2 60% .... 1.0 m L- , (Ij o cl. o ::r "o 40% JJ c (Ij !lJ (lJ 0.5 ;; N (Ij 20% ::J :r: 3 ..... 3 0% 0.0 2- 10 -4 10-3 10 -2 10 -1 10° APM Mlxlng Ratio X:1:5 ·11· C. Water .... SllIca -.... Polystlr. -+- Alrborn -&- Etoh Rate Figure 7: Haze and particle removal efficiency in APM with different NH40H concentrations at scfe. The optimum solution is 0.05: 1:5 with etch rate 0.25 nm/min. Solutions with etch rate higher than 0.6 nm/min (1:1 :5) may cause surface etching, Ref. /32,331. 280 M. Maček: AReview of Advanced Wet Cleaning Etch Rate in APM (X:1:5) 1.0 r-------------..,--, 0.8 0.34 (15.6-5800/T) x e ••• Meuris et al o<)OOhmi et al o !: Etchlng. Ra ) 0.7 nm E 0.6~-~~-------~-1~-~/~~ S / / (lJ ~ ni / /+ er: 0.4~---------,~-~/r----~ .c () ..... w No Etchlng Part removal Ra 0.25:1:5 o 1:1:51 Figure 8: Silicon etch rate in APM as measured by Meuris et al, Ret. /31/ and Ohmi et al, Ret. /33/. In Ref. /31/ the cold water is used for rinsing after APM cieaning, while in Ref. /33/ hot water is used. The most efficient part/de remo val is obtained with solutions having etch rate 0.2 - 0.4 nm/min. For the etch rate higher than 0.6 nm/min the silicon surface is roughened with average microroughness Ra < 0.7 nm. Si surface microroughness Meuris et al. /31/, and Ohmi et al., /33/. A large discrep- ancy in etch rates is due to the difference in wafer rinsing. In Ref. /31/ wafers were rinsed in cold DI water, while in Ret. /33/ wafers were rinsed in hot DI after APM cleaning. It is also shown in Ret. /33/ that the surface roughness is almost 2 times higher for the hot water rinse than for the cold water rinse. In Fig. 8 one can see two main regions regarding parti cie removal efficiency. Safe and efficient particie removal is guarantied for solutions with etch rate 0.2-0.4 nm/min. Average surface roughening in this region is be low 0.5 nm. Solutions with etch rate over 0.6 nm/min cause extensive surface roughening with average Ra > 0.7 nm. Solutions with etch rate from 0.4 to 0.6 nm/min should also be avoided due to unreliable control of the etch rate. Solutions with the highest efficiency and low etching rate (0.25 nm/min) are 1:1:5 solution at 65°C, 0.25:1:5 at 75°C with cold water rinse and 0.05:1:5 at 80°C and hot water rinse. Sisurface microughness Oielectrics in modern ICs are very thin. Oxide thick- nesses are sornetimes even less than 10 nm. For such ttlin layers. the ave rage suriace microroughness Ra should be close to the atomic dimensions (Ra=: 0.2 nm). M. Maček: AReview of Advanced Wet Cleaning Fig. 9 shows microroughness measured by STM after cleaning in different solutions for 10min, Ref./33/. One can see that HPM and SPM cleaning do not damage the surface (small increase of Ra for SPM is due to 4 times repeated cleaning). On the other hand, frequently used conventional BHF with NH4F concentration of 35-38% drastically increases microroughness. Advanced BHF (17% of NH4F, 0.17% of HF, 400 ppm of surfactant) does not attack the surface. Surprisingly, even 0.5% DHF significantly deteriorate the surface. Triplett et al. even show in Ref./34/that surface microroughness after DHF etching depends on the rinsing time in water. APM cleaning increases microroughness as one can see in Fig. 9. Microroughness depends on the silicon material (Raepy < Ra FZ < RaCZ), surface point defect concentra- E c Surface Microroughness vs. Cleaning 1.0 ,---------;---;========:I r (J Cz n type o 8 0.6 0.4 02 1 0.0 eh 't'\ ! \ I I • l ....... F .. ' ... n .. 'y... P ...... Cx p tYP8 I \, I f' -1 ',1 I I ,'" I ~ \ j .,1// I ~~_.-r 1 T Elank 0.05 0.10 0.26 1.0 eHF OHF BHF SPM HPM APM X:l:5 conventlonal advanced Cleanlng SolutIon Figure 9: Average surface microroughness Ra of n-type Cz wafer after c1eaning in different solutions under the folJowing conditions: etch time 10 min, APM and HPM temperature SePC, 4 times repeated SPM c1eaning and cold DI water rinse after APM, Ref. /33/. Sur face Microroughness after RCA Clean 1.0 T I aj T I c 0.8 , !tl E E .o:. !': 0.6 I I I ol CI: .!: Y 1 1 2 o .• w E I ~ .o:. ~/ 1 CI: . 0.2 bl I • R. I l o Elah rate Hot DI Water Rinse 0.0 Blank 0.06 0.10 0.26 1.00 Blank 0.05 0.05 Hot W Cold W APM Mixlng Ratio (X:1:5) Figure 10: (a) Average surface microroughness Ra of wafers treated in an entire RCA c1eaning process with different APM solutions and using hot water rinse after APM. (b) Surface microroughness after APM cJeaning in 0.05:1:5 solution and hot and cold (room temperature, RT) DI water rinse, Ret. /33/. 281 Informacije MIDEM 23(1993)4, str. 275-283 tion (after 4h wet oxidation at 10000 C Ra epy := R/Z := Racz), and APM etch rate. Fig. 10.a illustrates the relationship between micro- roughness after RCAclean, etch rate, and APM concen- tration, Ref. /33/. Average microroughness after 10 min etching in APM at 80°C with hot water rinse equals about 10% of the removed silicon thickness. As shows Fig. 10.b surface roughening can be halved by using cold instead of hot water rinse after APM step. A parameter directly related to the long term quality of the oxide is its charge to breakdown (Obd). Results show that it decreases with ave rage surface microroughness. The dependence is shown in Fig.11 for measurements with a constant electric field 9.5 MV/cm, Ref /33/. Ob- viously the surface microroughness must be kept below 0.4 nm to assure the highest possible oxide quality (Obd > 30 C/cm2). E o ...... Q 'o .o O Q bd VS. Surface Microroughness tox -9.8 nm Ebd • 9.5 MV lem T 0.2 0.4 0.6 0.8 1.0 Ra (nm) Figure 11: Surface microroughness dependence of Obd under a constant field of 9.5 MV/cm for p-type CZ wafer, Ref /33/. Hydrogen terminated surface The thickness of thermal grown thin oxides in modern ULSI IC technologies approach the thickness range of the native oxide grown on the Si surface exposed to air and to the thin oxide grown during cleaning in the APM and HPM solutions. Such an oxide can not be tolerated; so the last cleaning step must be etching of the contami- nated native oxide in the DHF. After the DHF cleaning the Si surface is hydrophobic. In Ref. /35/ was shown that the contact angle of a water droplet is a very good measure of the surface status and oxide quality. When Informacije MIOEM 23(1993)4, str. 275-283 the contact angle exceeds 60° the surface is covered with less than 1 % of oxygen monolayer. Typically con- tact angle for the DHF cleaned and DI water rinsed surface is about 70°. As itwas shown the DHFtreatment can cause metal and particle contamination. To prevent particie adhesion and improve cleaning efficiency the effects of the following three possible additives have been studied during the last years: hydrogen peroxide, H202, - isopropanol (IPA), - FC surfactants ln Fig. 4 it was already shown that the addition of H202 into the DHF (0.5%) enables Cu removal from contami- nated silicon surface and prevent Cu segregation onto pand n silicon surface in contaminated DHF. Fig. 12, Ref./33/, represents Cu removal efficiency from n type Si for H202-DHF solution at room temperature as a function of hydrogen peroxide concentration. As one can see almost complete removal of Cu is guarantied for 60 min cleaning in solution with 5-10% of H202 in DHF. Even such a prolonged etching in DHF with more than 0.1 % of H202 added does not increase the average surface microroughness from the initial value and does not destroy the hydrophobic nature of the Si surface. The contact angle measured after cleaning in 3%H202 - 0.5%OHF exceeds 60, Ref. 135/, whereas for the 0.5% DHF exceeds 70. Unfortunately addition of hydrogen peroxide to the DHF does not solve the problem of particles contamination in DHF solutions. Only minor improvements (times 2) in particie contamination was reported in Ref. 138/. Very significant reduction of the particie deposition was reported for DHF mixtures with minute amounts of IPA, Ref. /38/. Addition of 200-1000 ppm of IPA to 0.5%DHF almost does not change the state of the surface. The contact angle after 60 s dip in solution with 200 ppm I PA is identical to what is obtained when no IPA is added and it is no longer changed by subsequent DI- water rinse. When 1000 ppm of IPA is added to the 0.5%DHF Cu Removal Efficiency by DHF /~02 10 15 ~----".,--"-------r----'---:--~ a.r. SI(n tYPI) aar. sllp tYPI) c", 11>111r110lp 10 lJI1ft Cv 1ppln/Olp 10 ."" --'OHF Olp -"'OHF Olp -- OH'IH,O. -. OHr/HaOa 5"J---.c-_~O'P 1min ,~~g G COlp 10m ln Alt., OHF (0.0") c .!2 '" 10 '2 ------'01. , .. In '" r. lj <{ '-----Olp lmln ::> AHIt OHFIH,o. O 10 " • IIOlp 10m ln 10'0 O 5 10 O Olp GOmi 5 10 Figure 12: Copper removal efficiency by DHF-H2D2 with H2D2 concentration ranging from 0-10% for 10 and 60 min dipping time, Ref. /33/ 282 M. Maček: AReview of Advanced Wet Cleaning solution the particie density after rinsing is comparable to what is obtained after standard RCA clean. As a result, almost 50% increase in yield on gate oxide capa- citors was reported. Effect of addition of surfactants to the DHF solution is stili under study. But it is already known that the contact ang le after treatment nearly equals that one measured after treatment with O.1%IPA +0.5%DHF, Ref. /35/. Conclusions An ultraclean Si wafer surface is essential for achieving the advanced ultra large scale integrated production which incorporates low-temperature and high selectivity processes. Such a surface is completely free of par- ticIes, organic impurities, metal lic impurities, native oxide, surface microroughness and adsorbed im- purities. Since metallic impurities can cause fatal dam- age to device characteristics the contamination level must be suppressed to below 10 11 atomlcm2 . The only method to remove trace impurities from the surface at the present is wet cleaning. An advanced improved wet cleaning process proposed in Ref. 136/ consists of the following steps: removal of organic contaminants in H20 + 03 at room temperature. This is highly effective replacement for classical SPM cleaning at 130°C. Total removal of organic contaminants is essential for effectiveness of subsequent cleaning steps. removal of particles, organic and metal impurities in APM. Advanced 0.05:1:5 solution is highly effective to remove surface particles and partially effective to remove Cu like metals precipitated onto the surface, and does not deteriorate the surface smoothness. removal of native oxide and metals in DHF + H202 gene rate native oxide free surface cie an of metals which tends to incorporate into the native oxide (Fe, Al, Ca ... ) as well as Cu-like metais. This solution is very appropriate to clean n and p type surfaces with the highest demands for cleanliness and smooth- ness, such as the wafer surface before gate oxida- tion. Since this solution attacks the n+ and p+ surfaces, the only method to remove metal contami- nants from them is stili APM + HPM cleaning. Further improvements in cleaning could be obtained using DHF solution heated to 70°C, cleaning in an inert ambient, or even in using dry wafer (vapor) cleaning in an enclosed system, as it is for instance Advance 600/2 Vertical Reactor Cluster Tool for polysilicon gate appli- cation /35,39/. In such a system, the wafer surface is never exposed to air, neither before oxidation, nor be- fore polysilicon deposition. The result is a significant improvement of the oxide quality. ln order to decrease the metal concentration on the Si wafer surface to less than 1010 atoms/cm2, the concen- tration of metals with electronegativity higher than Si (for example Cu) in chemicals and water should be de- M. Maček: AReview of Advanced Wet Cleaning creased to less than 10 ppt which is hard to reach even with present ultraclean chemicals. A very promising way to delivered such clean chemicals is point of use chemi- cal generation which is useful for preparation of HF, Hel, NH40H and ozonated ultra pure water. Acknowledgement The author would like to thank J. Razinger for helpful discussions and acarful reading of the manuscript. References 1. W. Kern, D. Puitonen, RCA Review, 31,187(1970) 2. W. Kern, Semiconductor ln!., 7(4), 94(1984) 3. I.K. Bansai, Microcontamination, 2(4), 35(1984) 4. I.K. Bansai, Solid State Technology, 29(7), 75(1986) 5. M. Maček, M. Hiršman, 16 th Yugoslav Conference on Microelec- tronics, MIEL-88, p1-7, Zagreb, May 7-9,1988 6. R.C. Henderson, J. Electrochem. Soc., 119(6). 772(1972) 7. "Wafer Cleaning Equipment, 1986 Master Buying Guide, "Semi- conductor Int., 8(13). 76(1986) 8. D. Burkman, Semiconductor. In!., 4(7),103(1981) 9. A. Mayer, S. Shwartzman, J. Electron. Mater., 8, 885(1979) 10. S. Shwartzman, A. Mayer, W. Kern, RCA Rev., 46, 81(1985) 11. A.E. Walter, C.F. McConnell, Semiconductor. In!., 12(1), 36(1989) 12. Helms, DeallES May/June 1992, published in: R.E. Nowak, "A Review of High Performance Wet and Dry Cleaning", Submicron Systems Inc., Allentown, PA, 1992 13. R.L. Meek, T.M. Buck, C.F. Gibbon, J. Electroch. Soc., 120, 1241(1973) 14. R.M. Gluck, Abstract 238, p.640, The Electrochemical Society Extended Abstract, Vol-78-2, Pittsburgh, PA, Ocl. 15-20, 1978 15. B.F. Phillips, D.C Burkman, W.R. Schmidt, CA Peterson, J. Vac. ScL Technol., A1, 646(1983) 16. D.S. Becker, W.R. Schmidt, CA Peterson, D. Burkman, "Microelectronic processing: Inorganic Materials Characterization", ACS Symposium Series No 295, LA Casper, Editor, Chap. 23. p. 368, American Chemical Society, Washington, DC, 1986 17. S. Kawado, T. Tanigaki, T. Maruyama, "Semiconductor Silicon 1986", PV 86-4, H.R. Huff, T. Abe, B. Kolbesen, Editors, p.989, The Electrochemical Society, Softbound Proceedings Series, Penning- ton, NJ, 1986 18. G.J. Slusser, L. MacDowelI, J. Vac. ScL Technol., A5, 1649(1987) 19. E. Morota, T. Yoshimi, Y. Shimanuki, Abstract 237, p.352, The Electrochemical Society Extended Abstract, Vol-89-1, Los Angeles, CA, May 7-12,1989 283 Informacije MIDEM 23(1993)4, str. 275-283 20. T. Yoshimi, E. Morota, Abstract 238, p.354, The Electrochemi- cal Society Extended Abstract, Vol-89-1, Los Angeles, CA, May 7- 12,1989 21. CA Peterson, "Particles on Surfaces 1 : Detection, Adhesion, removal", K.L. Mittal, Editor, p.37, Plenum Press, NY, 1988 22. T. Ohmi, H. Mishima, T. Mizuniwa, M. Abe, Microcontamina- tion., 7(5), 25(1988) 24. G. Gould, A.E. Irene, J. Electroch. Soc., 136, 1108(1989) 25. M. Watanabe, M. Harazono, Y. Hiratsuka, T. Edamura, Abstract 139, p. 221, The Electrochemical Society Extended Abstract, Vol-83- 1, San Francisco, CA, May 8-13, 1983 26. M. Grundner, H. Jacob, Appl. Phys. Let!., A-39, 73(1986) 27. M. Grundner, P.O. Hahn, 1. Lampert, A. Schnegg, H. Jacob, Semiconductor Cleaning Technology, PV 90-9, J. Ruzyllo and R.E. Nowak Editors, p.215, The Electrochemical Society Softbound Pro- ceedings Series, Pennington, NJ, 1990 28. M. Hourai, K. Murakami, T. Shigematsu, N. Fujino, T. Shiraiwa, Jpn. J. Appl. Phys, 28,(12), 2413(1989) 29. R.S. Hacket, W. Katz, J. Electrochem. Soc, 136(11), 3481(1989) 30. T. Ohmi, T. Imaoka, T. Kezuka, M. Itano, Chemical Proceed- ings of Semiconductor Pure Water and Chemicals Conference, p162,1992 31. M. Meuris, M. M. Heyns, P. W. Mertens, S. Verhaverbeke, A. Philipossian, Microcontamination, May(5) 31(1992) 32. M. Itano, F.w. Kern, Jr, R.W. Rosenberg, M. Miyashita, 1. Kawa- nabe, T. Ohmi, IEEE Trans. on Sem. Manufacturing, SM-5(2), 114,(1992) 33. M. Miyashita, T. Tsuga, K. Makihara, T. Ohmi, J. Electrochem. Soc., 139(8), 2133,(1992) 34. B.B. Triplett, M. Tran, M. Aminzadeh, "Wafer Level Reliability Workshop", Oc!. 25-28, Lake Tahoe, p92, CA, 1992 35. R.E. Nowak, "A Review of High Performance Wet and Dry Cleaning", Submicron Systems Inc., Allentown, PA, 1992 36. T. Ohmi, T. Isigawa, M. Kogure, T. Imaoka, J. Electrochem. Soc., 140(3),804(1993) 37. T. Ohmi, T. Imaoka, T. Kezuka, J. Tukano, M. Kogure, J. Elec- trochem. Soc., 140(3),811 (1993) 38. S. Verhaverbeke, M. Meuris, M. Schaekers, L. Haspeslagh, P. Mertens, M.M. Heyns, R. de Blank, A. Philipossian, 1992 Sympo- sium on VLSI Technology, 22, 1992 39. C. Werkhoven, E. Granneman, M. Hendricks, R. de Blank, A. Verhaverbeke, P. Mertens, M. Meuris, W. Vandervorst, M. Heijns, A. Philipossian, International Electron Devices Meeting 1992, San Francisco, Ca, p633, Dec. 13-16, 1992 Dr. Marijan Maček, dipl. ing. Fakulteta za elektrotehniko in računalništvo Tržaška 25, 61000 Ljubljana Slovenija tel. +386-(0)61-123 1121 Prispelo (Arrived): 15.09.93 Sprejeto (Accepted): 21.11.93