Original scientific paper Informacije Journal of Microelectronics, Electronic Components and Materials Vol. 45, No. 4 (2015), 249 - 259 Design of Low-Power Temperature Sensor Architecture for Passive UHF RFID Tags Mohammad Reza Ghaderi Karkani1, Mahmud Kamarei1, Ali Fotowat Ahmady2 University of Tehran, School of Electrical and Computer Engineering, Tehran, Iran 2Sharif University of Technology, Department of Electrical Engineering, Tehran, Iran Abstract: A low-power wide-range CMOS temperature sensor architecture is proposed based on temperature-to-frequency conversion using supply voltage controlled sub-threshold ring oscillator. The principles of operation are investigated and proved via analytic and simulation results. Most errors are canceled out by this ratio-metric design. An inaccuracy of -0.84°C to +0.34°C occurs over a range of -40°C to 80°C after using a novel in-field digital two-point calibration. The entire sensor consumes less than 93nW to 305nW over the temperature range and can be digitally reconfigured for setting sample rate and resolution in a tradeoff. Keywords: CMOS temperature sensor; temperature-to-frequency; low-cost calibration; wireless sensing; RFID tags Dizajn arhitekture temperaturnega senzorja nizke moči za pasivne UHF RFID etikete Izvleček: Predlagana je arhitektura CMOS temperaturnega senzorja nizke moči, ki temelji na pretvorbi temperatura-frekvenca z uporabo napajalne napetosti kontroliranega pod pragovnega oscilatorja. Principi delovanja so raziskani in utemeljeni analitično in z rezultati simulacij. Večina napak je odpravljena z metričnim dizajnom. Negotovost, z uporabo nove dvotočkovne digitalne kalibracije je, znaša od -0.84 °C do +0.34 °C v širokem pasu od -40 °C do 80 °C. Poraba senzorja je od 93 nW do 305 nW in je lahko digitalno spremenljiva za določanje optimalnega vzorčenja in resolucije. Ključne besede: temperaturni senzor CMOS; temperatura-frekvenca; cenena kalibracija; brezžično tipanje; RFID etikete * Corresponding Author's e-mail: mrghaderi@ut.ac.ir 1 Introduction Integrating Radio-Frequency Identification (RFID) tags with sensors has becomes the mainstream of realizing sensor nets [1]. Integrating passive RFID tags with external temperature sensor is reported in [2]. While external sensors require separate readout circuitry, smart sensors combine a sensor and interface electronics in a single chip. Most smart temperature sensors in CMOS technologies make use of temperature dependent characteristics of substrate PNP transistors. These sensors could reach a high accuracy over a wide temperature range [3] but usually consume power in the order of tens of micro watts and their performance deteriorates once the supply voltage falls below 0.6 V. However, adding analog to digital converters and other associated digital signal processing electronics, the power consumption of these sensors, is still higher than the power budget of passive RFID tags, few hundreds of nano watts. Using threshold voltage and thermal voltage variation of sub-threshold MOS transistors, low silicon area voltage-output temperature sensors [4] or front-end thermal sensing elements [5] could be implemented. Using time-domain readout techniques and terminating power-hungry analog to digital converters, low power sub-microwatt smart sensors could be implemented in temperature-to-frequency and temperature-to-time (pulse width) converter architectures in cost of sacrificing sensor gain linearity and operating range. Temperature-to-frequency architectures are reported using temperature-dependent bias current ring oscillator [6, 7, 8] and temperature-dependent voltage-controlled LC oscillator [9]. Main architectures of temperature-to-time sensors are: converting temperature-dependent current to pulse-width [10, 11], temperature-dependent voltage to current to pulse-width 249 © MIDEM Society M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 [12, 13], leakage current to logarithmic pulse-width [14], temperature to delay time using delay line [15, 16] temperature to pulse-width of variable ring oscillator instead of delay line [17]. Sub-threshold ring oscillators are highly sensitive to the supply voltage, while consuming low power. Wide-range low power temperature sensors could be implemented using such an oscillator as a PTAT frequency generator. Compared to a similar oscillator as a reference, the common source of errors will be canceled in a ratio-metric design and the linearity will improve. Based on this concept, in this paper, a new wide-range, reconfigurable, nano-watt smart sensor architecture is proposed. RFID tag applications need a low-cost calibration technique. Avoiding the conventional costly two-point calibration process, a novel low-cost in-field group digital calibration technique is presented too. The rest of this paper is organized as follows. Section II introduces the temperature sensor architecture and its measurement principles. Building blocks of the sensor architecture are theoretically analyzed and described in circuit level in Sections III and IV. Digital sensor gain, temperature calculation and calibration mechanisms are described in Section V. Section VI shows the simulation results. The conclusion is presented in Section VII. 2 Temperature sensor architecture and operation principle 2.1 Low Power Temperature Sensor Architecture The architecture of the proposed temperature sensor is shown in Fig. 1. The sensor consists of two frequency paths. One of them is a constant-with-temperature reference frequency oscillator and the other one is a proportional to absolute temperature (PTAT) frequency oscillator. Two similar counters start to count the number of the output signal pulses of each oscillator as soon as Reset is asserted. N , is the number of refer- count ence frequency oscillator pulses indicating the period of comparison and N is the number of times that the times VDD Ncount En Reference freq. Osc. juin Counter R p VDD -r Reset PTAT freq. Osc. jinn L Counter P comparison is repeated. The digital bit of En enables the current bias of both oscillators. 2.2 Compensated temperature measurement Digital temperature readout could be produced comparing the temperature dependent frequency of the PTAT oscillator to the reference frequency. Considering the frequency change across the temperature variation range as: A/"sen = fsen(Tmax) ~ fsen(Tmn) the sensor gain SG can be defined as: S = A f / (T — T ) G J sen \ max min) (1) (2) Dividing the frequency change by the reference frequency ff the sensor digital output could be defined as: AD =Af / f , (3) sen J sen J ref v-v Finally, the digitized sensor gain DSG can be expressed as: Dg =AD / (T - T ) = Sg / f SG sen \ max min / G J r ref (4) Figure 1: The architecture of the proposed temperature sensor. which is the ratio of the sensor gain and the reference frequency and compensate for the bulk of common sources of error and nonlinearity in the ratio-metric design. 3 Temperature and process variation compensated oscillator For low-power low-cost oscillator, needed in RFID tag applications, ring oscillator architecture seems to be the best candidate. The frequency of the ring oscillator could be controlled robustly via current bias of the chain inverters. Another technique to control the frequency of the ring oscillators is supply voltage control [18]. The frequency of the ring oscillator is highly sensitive to the supply voltage, temperature and process variations. This sensitivity will increase even more in sub-threshold regime. Considering this, a compensation technique is proposed to control the frequency of a sub-threshold ring oscillator using an adaptive supply voltage. Fig. 2 (a) shows the architecture of the reference frequency generator. A series voltage regulator generates the adaptive sub-threshold supply voltage of the ring oscillator Va, from a supply voltage VDD, using an adaptive voltage reference Vb. Vb is generated by biasing a diode-connected PMOS with a digitally enabled current mirror in the sub-threshold region. E enables the 250 M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 Mref bias which sets the level of the reference voltage Vb and thus the sub-threshold supply voltage V. In (2 )((( + Reqp )/2) CL (5) The circuit schematic of the adaptive voltage regulator is shown in Fig. 2 (b). The digitally enabled current mirror generates the reference current If The seriesvoltage regulator compares the sample of Va with the reference Vb and control the output transistor Mp which causes V to be a fixed ratio of V. as V = aV,. a b a b VDD VDD (a) \ r Mref Vbias O-l I (b) Figure 2: (a) The architecture of the reference frequency generator; (b) Complete circuit schematic of the adaptive voltage regulator and the reference frequency generator. Biasing Mf in sub-threshold regime with the fixed current If Va and Vb are decreasing with temperature and show a complementary to absolute temperature (CTAT) behavior. The oscillation frequency of the ring oscillator is decreasing with reduction of sub-threshold supply voltage Va too. Therefore using Va as supply voltage, the oscillation frequency of the ring oscillator is decreasing with temperature and represents a CTAT behavior. On the other side considering a fixed subthreshold supply voltage, the oscillation frequency of the ring oscillator is increasing with temperature due to increase of the sub-threshold current of the transistors and shows a PTAT behavior. It is sufficient to adjust a to set the sub-threshold supply voltage Va in a range that the CTAT behavior of the oscillation frequency of the ring oscillator compensates the PTAT one to make the reference frequency constant with temperature. Now we proceed with propagation delay calculation. The propagation delay of a CMOS inverter can be calculated as: where R and R eqp are the equivalent resistors of pull-up and pull-down transistors in an inverter and CL is the total output capacitance. The drain current equation in the sub-threshold region can be expressed as [19]: 1DS 1S 0 r r 1 — exp V„ w exp ' J J Vs — V, — Voff nv. (6) where the parameter vt is the thermal voltage and is given by KBT/q. Vth. Vth is the threshold voltage of the transistor. Voff is the offset voltage which determines the drain current at Vgs = 0. The parameter n is the subthreshold swing parameter (or slope factor) which is a function of channel length and the interface state density [19] and can be illustrated by slope of logarithmic drain current versus gate voltage plot, with fixed drain, source, and bulk voltage in sub-threshold regime. IS0 is a temperature and process dependent parameter which its dependency on the temperature can be expressed as: i _ k Tp 1S 0 H-S 01 (7) Where the constant parameter kso and the power factor p can be calculated form technological parameters. Considering Vs>> n, channel length modulation can be neglected and sub-threshold drain current can be simplified to: lDS IS 0 X exp (( * V* -Voff)/nvt) (8) For an inverter operating in sub-threshold region, Rq and R can be calculated as follows: "It! -T7 ' /2 "»' V -V dV-- V IsoeexP V -VA (9) where Va is the supply voltage (See Fig. 2). Replacing (9) in (5), the propagation delay of each inverter can be written as: L - 0.5- VC Is oexp V (10) The above equation confirms the simulation results which represented in Fig. 3 (b) and shows the inverse relation between the propagation delay and the supply voltage Va at 25 °C. Va in turn is proportional to the reference voltage Vb, and is expressed as: 251 M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 = (1 + VR2) à aVb (ii) The adaptive reference voltage can be calculated as: F, = nvt In ( Iref /1s „r, ) + K. (12) based on the current bias I , and the values of thresh- old voltage and technological parameters n and IS for the reference diode-connected PMOS transistor M ref The threshold voltage of transistor with substrate junction connected to source [19] can be expressed as: Vh = VA (T0) + kt (T / To -1) (13) which is a linear function of temperature and is derived from the threshold voltage in the reference temperature VJTJ and constant temperature coefficient kt Based on (12), (13) and (7), Vb can be rewritten as: K = vth{T0)-kt + i \ K K. iref Tn a k, vs o, "f / (14) K, - j3n—T\nT^kl+k2T + k3ThiT^kl+k2T 1 Where k1, k2 and k3 are the corresponding coefficients of each term. The simulation results in Fig. 3 (a) shows that Vb is an approximately linear function of temperature with an R-squared regression of 0.9997 from -40°C to 80°C therefore k3 ~ 0 and the corresponding term can be eliminated from the equation. Substituting Va from (11) and Vb from (12) in (10) and using a few mathematical operations, t can be expressed as: 0.5aVbCL 'k I a KS 01 ref k \ S 0, 'ref ,{ß-cß, 'ref, exp aVth„f - V A / V nv„ (15) Substituting Vb from (14) and Vh from (13), (15) can be rewritten as: tp (T )« tp 0 ( + k2T )T Yexp (ktp Jr) Where: (16) tp0=0.5aCL[kS0i ef ! kS01 ref ((a-1) kq / (nKBT0 )) (a-(T0)-kt)q/nkb kt Y=aßref , and be and ß, are the power factors for the reference and the ring oscillator transistors, as expressed in (7). a is the constant ratio of supply voltage to adaptive ref- erence voltage and can be set with fine tuning of the ratio of R1 and R2 in the adaptive bias voltage regulator as in (11). The offset voltage of the amplifier, which directly adds to the supply voltage, will tune out in the calibration process too. Taking the first derivative of tp with respect to T from (16) and setting its value to zero results in t to be constant with temperature variations. Taking this derivative and eliminating the negligible terms (the terms with lower order of T) renders: t\ (t)=dtp / dT=tp0 [k2 (r+1)+(Kr-hk^r^ + (k1ktp0)T-2]rexP(ktp0/T)~ (17) ~tp0[k2{y+l)]rexp(klp0/T) setting t'p (T) = 0 results in y = -1. Since y = abref - p, the parameter a can be set to a value which satisfy y = -1. The desired condition obtained by tuning the ratio of R1 and R2 via parameter sweep in the simulation which resulted in a = 1.15. Fig. 3 (a) shows the variation of Va and Vb versus temperature. With temperature variation of AT from -40°C to 80°C reduction value of AVa and AVb in the both supply and reference voltages are observed which conforms the CTAT behavior of the voltages. Fig. 3 (b) represents the propagation delay and the oscillation frequency of the ring oscillator versus supply voltage at fixed 25°C temperature. It can be seen that the oscillation frequency will reduces with reduction of supply voltage and proportionally shows the CTAT behavior. Adjusting a and therefore AVa in a proper range, the desired frequency variation value of Afa will be occurred with AVa. In Fig. 3 (c) a frequency increase of AfT is observed due to full range temperature variation of AT from -40°C to 80°C which shows the PTAT behavior of the oscillation frequency of subthreshold ring oscillator with a fixed 0.4V supply voltage. In order to make the oscillation frequency stable with temperature the parameter a is adjusted to equate Afa with AfT which balances the CTAT and PTAT behavior of the oscillation frequency. The propagation delays of the reference oscillator t versus temperature from 500 Monte Carlo simulation runs are shown in Fig. 3 (d). As expected from (16), the propagation delay is nearly constant across wide ranges of process and temperature variation. It can be seen that delay to temperature ratio of At / AT = 0.002ppm is resulted from -40°C to 80°C. Total ratio of the oscillation frequency variation to temperature across process corners and -40°C to 80°C temperature range is Afef / AT 252 M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 = 980ppm and phase noise for center frequency of 310 KHz at 1KHz offset is -48dBC/Hz at 20°C. (a) (b) (c) (d) -»-tp —■—tpsen y= -6E-0SX+ 1E-05 "■*■., R!=0,9944 "" ■ V = 2 tOSx V 3 E« R1 =0,8394 Temperature 4C Figure 3: (a) Variation of reference voltage Vb and supply voltage Va with temperature variation setting a = 1.15; (b) Propagation delay tp and oscillation frequency of the ring oscillator f vs. supply voltage at 25 °C; (c) Propagation delay and oscillation frequency of the ring oscillator f vs. temperature variation with a fixed 0.4 V supply voltage; (d) Average and its ±3o boundaries of the propagation delay of the reference clock (tp) and the PTAT frequency generator (tpsen) versus temperature based on Monte Carlo simulations. 4 Temperature dependent process variation compensated oscillator In the previous section it was demonstrated how the reference frequency was made stable with temperature by adjusting a to set the sub-threshold supply voltage Va in a range that the CTAT and PTAT behaviors of oscillation frequency counteract each other in the reference oscillator. Setting Va higher than the adjusted range in the stabilized reference oscillator causes the oscillation frequency to show a CTAT behavior and setting Va lower than the range, the PTAT behavior will be achieved. The PTAT frequency generator circuit is similar to the circuit shown in Fig. 2 (b) except for R1 = 0 which results in asen = 1+R1/R2 = 1, hence the regulated sub-threshold supply voltage output is equal to the adaptive bias voltage. The circuit uses the same adaptive voltage reference Vb and a separate ring oscillator with similar size and number of stages. Fig. 3 (a) shows the variation of Vb versus temperature which is the same supply voltage for the PTAT oscillator. Fig. 3 (b) shows variation of the oscillation frequency Dfb due to variation of the supply voltage DVb at fixed 25°C temperature. It can be seen adjusting supply voltage in lower range DVb by setting asen = 1, lower frequency variation Dfb is resulted which is smaller than PTAT frequency variation DfT in Fig. 3 (c) as mentioned before. Therefore the PTAT behavior is dominant and make the frequency of the oscillator temperature dependent. Considering asen = 1+R1/R2 = 1, similar to (16), the propagation delay for the PTAT frequency generator circuit can be derived as: PSen tposn ( + k2T)TaseAef~pexp XtP «s« (18) As the R1 value and therefore asen is optimized to make the digital output of the sensor linear (as described in section 5), the propagation delay of the PTAT frequency generator remains slightly nonlinear. The propagation delay of the PTAT oscillator tpen, versus temperature at different process corners are shown in Fig. 3 (d). Compared to the reference oscillator delay, the PTAT oscillator delay varies in inverse proportion to the absolute temperature while the reference oscillator delay is relatively constant. At room temperature (20°C) the propagation delay of the reference clock is tp = 3.21ms, and the propagation delay of the PTAT frequency generator is t sen = 0.10ms, which render fref = 1/ t = 310KHz and f = 7/t = 94KHz. p sen psen 259 M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 5 Digital sensor gain and temperature calculator Although the PTAT frequency generator is a slightly non-linear function of temperature, the digital output of the sensor, which is the ratio of the PTAT frequency to the reference frequency, is an approximately linear function of temperature. To see this, assume the digital output to be: Den = fsen 1 fref = 'p 1 tp, = "/a ^ (sen -a)Ky (19) and substituting the exact equation of Vb from (14) and rewriting (19), the digital sensor output can be expressed as: D =a/ OL exp (asen-a)k3lnT nK exp exp nK q exp {asen-a)k, nK j q (20) = D0Tsexp(kDJT) Where y = (a - a ) b .and D„ and are constant coef- * v sen ref 0 d0 ficients. In order to make the digital sensor output a linear function of temperature, the second derivative of Dsen with respect to T should equals to zero. The first and second derivatives of (20) can be written as: D (T) = sen \ J dD. dT 0 + (2kD0 - ökD0)Tö-3 + km2Ts-4]exp{kD0/T) * ^D0[(^-S)T6-1]exp{kDJT) (22) neglecting the terms with lower order of T, the parameter 8 can be set as 8 = 1 to make D" (T) = 0. It means sen 1 ' (a - asen)Pref = 1. By tuning the ratio of R1 and R2 via parameter sweep in the simulation, it reveals that, despite of the approximations, asen = 1 satisfy this equation and results in the best linearity for the digital sensor output. Practically the second derivative of Den remains slightly non-zero and for high-precision digital sensor output it should be presented in a second-order polynomial equation form (neglecting higher order terms) as: Dsen (T) = Dsen {T0) + {T - T0)Dse; {T0) + + {T- T0f Dse; (T0)/2 = a0 + b0T + c0T2 (23) which is almost a perfect second-order polynomial function of temperature. Fig. 4 shows the digital sensor output vs. temperature from 500 Monte Carlo simulation runs. The graphs fit second-order polynomial trend functions with an R-squared regression of 1 from -40°C to 80°C. According to the average Den fitted equation, equation (23) can be written as: Dsen (T) = 0.2672 + 0.0018T + 0.000004T2 (24) Figure 4: Average and its ±3o boundaries of the digital sensor output with temperature variation based on Monte Carlo simulations. kD0Ts 22exp(kD0 / T) (21) 5.1 Temperature Calculator In section 2.2, we showed how the digital readout circuit principally measures the temperature. Here, we illustrate how the temperature calculator computes the temperature using N , and N signals. The time pe- 1 ^ count times ^ 1 riod of each comparison is defined by: T = N P ' count / fr ref (25) Where N , is the number of reference frequency oscil- count 1 7 lator pulses at frequency of fref In this period of time, the number of PTAT oscillator pulses with frequency of fen can be calculated as: NSen ~ Tpfsen ~ Ncount fsen ^ fref (26) This results in: Nsen ^ Ncount fsen ^ fref (27) so the sensor digital output can be directly obtained by: 36 M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 kDsen = ¥sen 1 fref = sen2 - Nsenl ) 1 Ncount (28) Therefore the sample rate can be calculated as: Sample Rate = UTP = fref / Ncount (29) To calculate the temperature measurement resolution or the minimum measurable change in temperature D T ., note that: AD = dsgat . senmin SG min (30) Considering (28), the minimum calculated frequency variation will be given by: ^senmin = ((2 - NS1 ) 1 Ncount = 1 1 Ncount (31) Finally, from the recent two equations, the digital readout resolution of the temperature measurement can be calculated as: Resolution = ATmin = 1/ (NcountDSG) (32) 5.2 Sensing Errors and Calibration The process parameters' spread, the supply noise, and the nonlinearity of the frequency variation with temperature are the dominant sources of error. Due to the highly similar architecture of the two oscillators which differ only in the value of R1, and their highly symmetric layout, most of the errors are expected to be canceled out in this ratio-metric design. The supply and device noises of the ring oscillator are directly translated to the jitter of the output oscillation waveforms, which will be eliminated in digital counters. As seen in Fig. 4, the nonlinearity of the frequency variation with temperature results in less error while perfectly fitting Dsen to a second-order polynomial function of temperature. Needless to say, this nonlinearity could be digitally compensated by implementing the inverse function of (24) in the temperature calculator to calculate the measured temperature from the resulting Den as below: T = 500 [V(Dsen - 0.0647) - 0.45] (33) The process parameters' spread causes an offset in both y-intercept and slope of the digital sensor output curve in Fig. 4. Thus a two-point calibration is required to trim the sensor for the 120°C temperature range. 5.3 Digital Group Calibration There are some low-cost after packaging calibration techniques using an extra on-chip calibration transis- tor and calibrating the sensor by measuring die temperature [20], or batch mode calibration by calibrating a limited number of samples from a production batch, obtaining an estimate of average error and trim the entire batch using the information [21]. Due to ease of group communication in RFID applications, for the proposed temperature sensor, an In-field group-mode calibration at two different temperatures is proposed to digitally trim the sensor tags after packaging. Fig. 5 shows the error of the digital sensor output vs. temperature from 500 Monte Carlo simulation runs. In the first-point calibration, all sensor tags are placed in the minimum operating temperature, e.g. T1 = - 40°C, an interrogator announces the field temperature. Each sensor calculates the ideal corresponding digital sensor output D1ref using the digitally implemented equation (24) and measures the real digital sensor output D1. The y-intercept offset of the digital sensor output curve is calculated as follows: AD = D1ref a (34) AD1 for a sample on the +3o boundary is shown in Fig. 5. From then on, the sensor adds the above offset AD1 to any measured output as a one-point calibration. Fig. 6 shows the error of the digital sensor output vs. temperature after the one-point calibration. Figure 5: Error of the average and its ±3o boundaries of the digital sensor output with temperature variation based on Monte Carlo simulations. Again all sensor tags are placed in the maximum operating temperature, e.g. T2 = 80°C, and an interrogator announces the field temperature. Each sensor calculates the ideal corresponding digital sensor output D2ref and measures the real digital sensor output D2. The slope offset of the digital sensor output curve is calculated as below: AD' = (( rf - A)/(T2 - T) (35) 37 M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 Figure 6: Error of the average and its ±3o boundaries of the digital sensor output with temperature variation after one-point calibration. At any working temperature, the sensor calculates the offset of the digital sensor output using the latest measured temperature T' as follows: AD2 = AD' (T'- Tj) (36) AD' and AD2 at T' for a sample on the +3o boundary are shown in Fig. 6. The sensor adds the above offset AD2 to the latest measured digital output to calculate the new temperature, T and replaces T' with T and then recalculates AD2 to find a more accurate temperature value, in an iterative way. The digital parameter Ntimes determines the number of iterations for temperature calculation. Fig. 7 shows the error of the digital sensor output vs. temperature after the two-point calibration. Being compared to Fig. 5, offsets in both y-intercept (AD1) and slope (ADO of the digital sensor output curves are cancelled out for average and its ±3o boundaries after the proposed two-point calibration. The controlling signal Ncount defines the programmable resolution and the sampling rate. The temperature sensor can be digitally reconfigured. There are two options: reducing the sampling rate while decreasing the resolution, or keeping the sample rate high while increasing the resolution. 6 Simulation results The layout of the sensor core circuit is designed using an industrial 0.18 ^m technology library and shown in Fig. 8. The size of the core sensor layout is 52.6^mx51^m. The netlist of the sensor circuit is extracted for post layout simulation and 500 Monte Carlo simulations were run. The functionality of the counters and the temperature calculator is evaluated using a software on a PC. In practice digital modules can be implemented with Figure 7: Error of the average and its ±3o boundaries of the digital sensor output with temperature variation after two-point calibration. Figure 8: Layout of the sensor core. sub-threshold static CMOS logic gates alongside with other digital parts of the RFID tag IC using the same supply voltage of the reference oscillator (Va). Fig. 9 shows the sensor error vs. temperature after the two-point calibration. It is shown that error ranging from -0.84°C to 0.34°C occurs over a range of -40°C to 80°C which is less than 1% of the measurement range. N is set to 4600 to achieve a resolution of less than count 0.3°C and the sample rate is calculated from (29). Fig. 10 shows the resolution of the sensor vs. temperature. This N , value renders a sample rate of higher than 66 count samples per second. Diagram of sample rate vs. temperature is shown in Fig. 11. The dynamic power consumption of the core sensor at different temperatures is calculated from the simulation and is shown in Fig. 12. The total power consumption varies from 93nW to 305nW over the full temperature range. The dynamic 38 M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 (ac) power consumption changes depending on the oscillation frequency and supply voltage of the Reference and PTAT oscillators. The static (DC) power consumption increases with temperature due to increase in the sub-threshold currents and change in supply voltage even with decrease of the supply voltage V. Figure 9: Temperature measurement error after two-point calibration. Figure 10: Sensor resolution vs. temperature with N , J ^ count = 4600. The results are given in Table 1. Compared with the references, the proposed sensor architecture exhibits higher accuracy over a wider temperature range of 120°C, while having a nano-watt power consump- Figure 11: Sensor sample rate vs. temperature with N , = 4600. count gaoo —•— Dynamic ■ Static ■ —ft— Total ft-- 1 1 ■ T W I -40 -20 0 20 40 60 BO Temperature 'C Figure 12: Power consumption of the sensor core vs. temperature. tion and comparable resolution and sample rate (See Table 1). 7 Conclusions Using supply voltage controlled sub-threshold ring oscillators, a wide-range, accurate and low-power temperature sensor architecture is demonstrated which can be dynamically reconfigured for setting resolution and sample rate. The architecture uses a ratio-metric design which cancels out most of the common sourc- Table 1: Simulation results and comparison with references. Parameter [61 [71 [81 [101 [111 [121 [131 [141 [151 [161 [171 This Work Resolution (°C) 0.035 0.5 0.3 0.35 0.3 0.3 0.21 0.28 0.5 0.2 0.595 0.294 Error (°C) ±0.1 ±1.5 -1.4/+1.5 ±0.8 ±1.5 -0.8/+1 -0.8/+1 ±1.97 ±1 -0.8/-1 -0.63/+1.04 -0.84/+0.34 Temp. Range (°C) ' 35~45 -40~85 0~100 -20~30 -30~60 0~100 -10~30 20~100 0~75 0~100 20~120 -40~80 Power Consumption (nW) @SR 110 @10 600 @- 71 @33 2400 @25 350 @68 405 @5 119 @333 1.05~65.5 @5 9000 @20 1500 @10 288100 @430K 93~305 @66 Energy/ Conversion (nJ per conversion) 11 - 2.2 96 5.2 81 0.35 0.2~13 450 150 0.67 1.4~4.6 Sampling Rate (Sample/Sec) 10 - 33 25 68 1K 333 12 1M 10 430K 66 Calibration 2-point 1-point 2-point 1-point 1-point 2-point 2-point Without Cal. 2-point 2-point 1-point 2-point Area (mm2) 0.084 0.005 0.09 - 0.14 0.0324 0.0416 0.000843 0.4 0.025 0.031 0.00268 CMOS Technology 0.35|im 0.18|m 0.18|m 0.18|m 0.18|m 0.18|m 0.18|m 0.35|m 0.35|m 0.35|m 0.13|m 0.18|m 39 M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 es of error. The difference between the reference and PTAT oscillators is only the value of a resistor which guarantees perfect tracking over process and tempera- 9. ture variations. Temperature inaccuracy of -0.84°C to 0.34°C occurs over a wide-range of -40°C to 80°C while the entire sensor consumes less than 93nW to 305nW 10. over the measurement range, digital circuits excluded. While most of low-power temperature sensors have limited accuracy or temperature range, the proposed sensor accurately works over a wide range of 120°C. The proposed in-field digital calibration provides an appropriate low-cost method for sensor calibration. 11. The sensor is suitable to be embedded in passive RFID tags and any other low-power wireless sensing application. 8 References 1. S. Roy, V. Jandhyala, J. R. Smith, D. J. Wetherall, B. P. Otis, R. Chakraborty, M. Buettner, D. J. Yeager, Y. C. Ko, and A. P. Sample, "RFID: from supply chains to sensor nets," Proceedings of the IEEE, vol. 98, no. 9, pp. 1583-1592 Sep. 2010. 2. D. Yeager, F. Zhang, A. Zarrasvand, B. P. Otis, "A 9 ^A, addressable Gen2 sensor tag for biosignal acquisition," IEEE J. of Solid-State Circuits, vol. 45, no. 10, pp. 2198-2209, Oct. 2010. 3. D. De Venuto, and E. Stikvoort, "Low power highresolution smart temperature sensor for autonomous multi-sensor system," IEEE Sensors J., vol. 12, no. 12, pp. 3384-3391, Dec. 2012. 4. M. Sasaki, M. Ikeda, and K. Asada, "A temperature sensor with inaccuracy of -1/+0.8 °C using 90-nm 1-V CMOS for online thermal monitoring of VLSI Circuits," IEEE Trans. on Semiconductor Manufacturing, vol. 21, no. 2,pp. 201-208, May 2008. 5. P. C. Crepaldi, R. L. Moreno, and T. C. Pimenta, "Low-voltage, low-power, high linearity front-end thermal sensing element," Electronics letters, vol. 46, no. 18, pp. 1271-1272, Sep. 2010. 6. A. Vaz, A. Ubarretxena, I. Zalbide, D. Pardo, H. Solar, A. Garcia-Alonso, and R. Berenguer, "Full passive UHF tag with a temperature sensor suitable for human body temperature monitoring," IEEE Trans. on Circuits and Systems II: Express Briefs, vol. 57, no. 2, pp. 95-99, Feb. 2010. 7. Z. Qi, Y. Zhuang, X. Li, W. Liu, Y. Du, and B. Wang, "Full passive UHF RFID Tag with an ultra-low power, small area, high resolution temperature sensor suitable for environment monitoring," Microelectronics J., vol. 45, pp. 126-131, Oct. 2013. 8. S. Jeong, Z. Foo, Y. Lee, J. Y. Sim, D. Blaauw, and D. Sylvester, "A fully-integrated 71 nW CMOS temperature sensor for low power wireless sensor nodes," IEEE J. of Solid-State Circuits, vol. 49, no. 8, pp. 1682-1693, Aug. 2014. F. Kocer, and M. P. Flynn, "An RF-powered, wireless CMOS temperature sensor," IEEE Sensors J., vol. 6, no. 3, pp. 557-564, Jun. 2006. J. Yin, J. Yi, M. K. Law, Y. Ling, M. C. Lee, K. P. Ng, H. C. Luong, A. Bermak, M. Chan, W. H. Ki, C. Y. Tsui, and M. Yuen, "A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor," IEEE J. of Solid-State Circuits, vol. 45, no. 11, pp. 2404-2420, Nov. 2010. B. Wang, M. K. Law, A. Bermak, and H. C. Luong, "A passive RFID tag embedded temperature sensor with improved process spreads immunity for a -30 °C to 60 °C sensing range," IEEE Trans. on Circuits and Systems I: Regular papers, vol. 61, no. 2, pp. 337-346, Feb. 2014. M. K. Law, and A. Bermak, "A 405-nW CMOS temperature sensor based on linear MOS operation," IEEE Trans. on Circuits and Systems II: Express Briefs, vol. 56, no. 12, pp. 891-895, Dec. 2009. M. K. Law, A. Bermak, and C. Howard, "A sub-^W embedded CMOS temperature sensor for RFID food monitoring application," IEEE j. of solid-state circuits, vol. 45, no. 6, pp. 1246-1255, Jun. 2010. P. Ituero, J. Ayala, and M. Lopez-Vallejo, "A na-nowatt smart temperature sensor for dynamic thermal management," IEEE Sensors J., vol. 8, no. 12, pp. 2036-2043, Dec. 2008. P. Chen, T. K. Chen, Y. S. Wang, and C. C. Chen, "A time-domain sub-micro watt temperature sensor with digital set-point programming," IEEE Sensors J., vol. 9, no. 12, pp. 1639-1646, Dec. 2009. Ch. Ch. Chen, and H. W. Chen, "A low-cost CMOS smart temperature sensor using a thermal-sensing and pulse-shrinking delay line," IEEE Sensors J., vol. 14, no. 1, pp. 278-284, Jan. 2014. Y. J. An, K. Ryu, D. H. Jung, S. H. Woo, and S. Jung, "An energy efficient time-domain temperature sensor for low-power on-chip thermal management," IEEE Sensors J., vol. 14, no. 1, pp. 104-110, Jan. 2014. K. Sundaresan, P. H. Allen, and F. Ayazi, "Process and temperature compensation in a 7-MHz CMOS clock oscillator," IEEE J. of Solid-State Circuits, vol. 41, no. 2, pp. 433-442, Feb. 2006. BSIM3v3.2.2 MOSFET model users' manual, University of California, Berkeley, USA, 1998. pp. 2-30. M. Pertijs, K. A. A. Makinwa, and J. H. Huijsing, "A CMOS smart temperature sensor with a 3o inaccuracy of ±0.1 °C from -55 °C to 125 °C," , IEEE J. of Solid-State Circuits, vol. 40, no.12, pp. 2805- 2815, Feb. 2005. A. L. Aita, M. A. P. Pertijs, K. A. A. Makinwa, J. H. Huijsing, and G. C. M. Meijer, "Low-power CMOS 40 M. R. Ghaderi Karkani et al; Informacije Midem, Vol. 45, No. 4 (2015), 249 - 259 smart temperature sensor with a batch-calibrated inaccuracy of ±0.25 °C (±3o) from- 70 °C to 130 °C," IEEE Sensors J., vol. 13, no. 5, pp. 1840-1848, May 2013. Arrived: 30. 07. 2015 Accepted: 10. 11. 2015 41