UDK 621,3:(53+54+621 +66)(05)(497.1 )=00 ISSN 0352-9045 Strokovno društvo za mikroelektroniko elektronske sestavne dele in materiale Strokovna revija za mikroelektroniko, elektronske sestavne dele in materiale Journal of Microelectronics, Electronic Components and Materials INFORMACIJE MIDEM, LETNIK 27, ST. 1(81), LJUBLJANA, marec 1997 PCB-Prototyping witn lkkk hast, accurate, environmentally-friendly The complete system for SMT: ■> Prototyping with Assembly INFORMACIJE MIDEM 1 01997 INFORMACIJE MIDEM LETNIK 27, ŠT. 1(81), LJUBLJANA, MAREC 1997 INFORMACIJE MIDEM VOLUME 27, NO. 1(81), LJUBLJANA, MARCH 1997 Izdaja trimesečno (marec, junij, september, december) Strokovno društvo za mikroeiektroniko, elektronske sestavne dele in materiale. Published quarterly (march, june, september, december) by Society for Microelectronics, Electronic Components and Materials - MIDEM. Glavni in odgovorni urednik Mag. Iztok Šorli, dipl.ing., Editor in Chief MIKROIKS d.o.o., Ljubljana Tehnični urednik Executive Editor Uredniški odbor Editorial Board Časopisni svet International Advisory Board Naslov uredništva Headquarters Mag. Iztok Šorli, dipl.Ing., Doc. dr. Rudi Babič, dipl.ing., Fakulteta za elektrotehniko, računalništvo in informatiko Maribor Dr.Rudi Ročak, dipl.ing., MIKROIKS d.o.o., Ljubljana mag.Milan Slokan, dipl.ing., MIDEM, Ljubljana Zlatko Bele, dipl.ing., MIKROIKS d.o.o., Ljubljana Dr. Wolfgang Pribyl, SIEMENS EZM, Villach mag. Meta Limpel, dipl.ing., MIDEM, Ljubljana Miloš Kogovšek, dipl.ing., Ljubljana Dr. Marija Kosec, dipl. ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Slavko Amon, dipl.ing., Fakulteta za elektrotehniko, Ljubljana, PREDSEDNIK - PRESIDENT Prof. dr. Cor Claeys, IMEC, Leuven Dr. Jean-Marie Haussonne, EIC-LUSAC, Octeville Dr. Marko Hrovat, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Zvonko Fazarinc, dipl.ing., CIS, Stanford University, Stanford Prof. dr. Drago Kolar, dipl.ing., Inštitut Jožef Stefan, Ljubljana Dr. Giorgio Randone, ITALTEL S.l.T. spa, Milano Prof. dr. Stane Pejovnik, dipl.ing., Kemijski inštitut, Ljubljana Dr. Giovanni Soncini, University of Trento, Trento Prof.dr. Janez Trontelj, dipl.ing., Fakulteta za elektrotehniko, Ljubljana Dr. Anton Zalar, dipl.ing., ITPO, Ljubljana Dr. Peter Weissglas, Swedish Institute of Microelectronics, Stockholm Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 1000 Ljubljana, Slovenija tel.: +386(0)61 31 28 98 fax: +386(0)61 31 91 70 Iztok.Sorli@guest.ames.si http://pollux.fer.uni-lj.si/midem/journal.htm Letna naročnina znaša 12.000,00 SIT, cena posamezne številke je 3000,00 SIT. Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Annual subscription rate is DEM 200, separate issue is DEM 50. MIDEM members and Society sponsors receive Informacije MIDEM for free. Znanstveni svet za tehnične vede I je podal pozitivno mnenje o reviji kot znanstveno strokovni reviji za mikroeiektroniko, elektronske sestavne dele in materiale. Izdajo revije sofinanci rajo Ministrstvo za znanost in tehnologijo in sponzorji društva. Scientific Council for Technical Sciences of Slovene Ministry of Science and Technology has recognized Informacije MIDEM as scientific Journal for microelectronics, electronic components and materials. Publishing of the Journal is financed by Slovene Ministry of Science and Technology and by Society sponsors. Znanstveno strokovne prispevke objavljene v Informacijah MIDEM zajemamo v: * domačo bazo podatkov ISKRA SAIDC-el, kakor tudi * v tujo bazo podatkov INSPEC Prispevke iz revije zajema ISI ® v naslednje svoje produkte: Sei Search® , Research Alert® in Materials Science Citation Index™ Scientific and professional papers published in Informacije MIDEM are assessed into: * domestic data base ISKRA SAIDC-el and 'foreign data base INSPEC The Journal is indexed by ISI® for Sei Search®, Research Alert® and Material Science Citation Index™ Po mnenju Ministrstva za informiranje št.23/300-92 šteje glasilo Informacije MIDEM med proizvode informativnega značaja, za katere se plačuje davek od prometa proizvodov po stopnji 5 %. Grafična priprava in tisk BIRO M, Ljubljana Printed by Naklada 1000 izvodov Circulation 1000 issues Poštnina plačana pri pošti 1102 Ljubljana Slovenia Taxe Perçue UDK621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 27(1997)1, Ljubljana M.Kosec, I.Šorli: IMAPS/NATO ARW delavnica in razstava M,Kosec, I.Šorli: INAPS/NATO Advance Research Workshop and Exhibition ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS B. Zojer, R. Koban, R. Petschacher, W. Sereinig: Naročniški linijski vmesnik (SLIC) v novi 170 V tehnologiji 3 B. Zojer, R. Koban, R. Petschacher, W. Sereinig: A Subscriber Line Interface Circuit (SLIC) in a New 170 V Technology D. Križaj, W. Bonvicini, S, Amon: Modeliranje delovanja FOXFET strukture za napajanje Si strip detektorjev 8 D. Križaj, W. Bonvicini, S. Amon: Operation of the FOXFET Sructure for Biasing Si Strip Detectors: A Device Modeling Approach I. Zelinka, J. Dlaci, V. Kune, L, Trontelj: Modeliranje in simuliranje mikrosistema s simulatorjem SPICE 16 I. Zelinka, J. Diaci, V. Kune, L, Trontelj: Modeling and Simulation of a Microsystem with SPICE Simulator M.K. Gunde: Uporaba infrardeče spektroskopije pri analizi materialov za mikroelektronsko industrijo, 1. Polprevodniški substrati 23 M.K. Gunde: Infrared Spectroscopy as Analysing Tool for Materials Used in Microelectronics, 1. Semiconductor Substrates I, Gorišek, K. Požun, L. Koller, S. Grame: Raziskava lastnosti poliester traku za podlago elektronskih komponent 31 I. Gorišek, K. Požun, L. Koller, S. Grame: Research of Polyester FIlm for Electronic Components L. Koller, S, Vrhovec, K. Požun, D. Railič: Karakterizacija vakuumsko razplinjenega plastičnega materiala za miniaturne releje 33 L. Koller, S. Vrhovec, K. Požun, D. Railič: Characterization of Vacuum Outgassed Plastic Materials for Miniature Relays APLIKACIJSKI ČLANKI APPLICATION ARTICLES I. Šorli: TOC v vodi, I. del: Meritve in merilniki 36 I. Šorli: Total Organic Carbon - TOC in Water, part I.: Measurement and Instrumentation PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE LPKF skupina podjetij 48 LPKF Group of Companies MIDEM IN NJEGOVI ČLANI, NOVICE IZ DRUGIH SREDIN MIDEM SOCIETY AND ITS MEMBERS, NEWS FROM OTHER INSTITUTIONS Zlati znak Jožefa Štefana za dr. M. Toplča 52 Jožef Stefan Golden Award goes to dr. M, Topic K. Koch: Novi raziskovalni Institut v Beljaku 52 K. Koch: Carinthian Tech Research Institute KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA CONFERENCES, COLLOQUYUMS, SEMINARS, REPORTS M. Hrovat: Tretja Evropska konferenca o "multichip" modulih EC-MCM'97 53 M. Hrovat: 3rd European Multichip Module Conference EC-MCM'97 W. Pribyl: Mednarodna konferenca ISSCC'97 56 W. Pribyl: International Solid State Circuit Conference '97 PRIKAZI MAGISTRSKIH DEL IN DOKTORATOV V LETU 1996 57 M.S. and Ph.D. ABSTRACTS in 1996 VESTI 65 NEWS KOLEDAR PRIREDITEV 68 CALENDAR OF EVENTS MIDEM prijavnica 69 MIDEM Registration Form Slika na naslovnici: Novi LPKF risalnik tiskanih vezij s samodejno menjavo orodij 95S Front page: New LPKF Auto Tool Change Circuit Board Plotter 95S I MAPS/NATO Advance Research Workshop and Exhibition May 10-13, 1997 Grand Hotel Toplice, Bled, Slovenia The title of the workshop: Electronic Packaging for High Reliability, Low Cost Electronics' This conference is an extension of three previous Advanced Research Workshops (ARW). The subject of the ARW will be recent advances in high performance, cost sensitive electronic packaging. This ARW will focus on single and multi-chip packaging, first level assembly and component development for portable and high reliability applications. This conference will evaluate: - chip scale and ball grid array single chip packaging - assembly technologies - new materials, processes and components for these assemblies - examples of high performance, high volume, cost sensitive applications (telecommunications, portable electronics, military) Co-Directors: 1.Dr. Rao Tummala, Professor and Director, Georgia Institute of Technology, USA 2.Mr. Richard Breck, Executive Director, IMAPS (formerly ISHM), USA 3.Dr. Marija Kosec, Sr. Research Associate, Jožef Stefan Institute and president of MIDEM society, Slovenia We expect 60 participants, with more than 50% invited (60% from NATO countries). UDK621.3: (53 + 54+621 + 66), ISSN0352-9045 Informacije MIDEM 27(1997)1, Ljubljana A SUBSCRIBER LINE INTERFACE CIRCUIT (SLIC) IN A NEW 170V TECHNOLOGY B. Zojer, R. Koban, R. Petschacher, W. Sereinig SIEMENS Microelectronic Design Center, Villach Keywords: electronic linecards, SLIC, Subscriber Line Interface circuits, HV-SLIC, high voltage SLIC, SPTtechnology, Smart Power Technology, SPT170 technology, IC, integrated circuits, internal ringing, output buffers, line current sensors, supply voltage switches, SLICOFI, Subscriber Line Interface and Codec Filter, BiCMOS, Bipolar CMOS circuits, DMOS, Double diffused MOS circuits, CO, electronic Central Offices Abstract: The presented IC performs the high-voltage functions of an electronic central office subscriber line interface without the need for any transformers or relays. The challenges of SLIC integration stem from the combination of conflicting requirements: low impedance line feeding in a 150V range, current sensing with 0.2% relative accuracy and stability up to 200nF loads, while operating in the harsh environment of the telephone line. The newly developed BiCMOS/DMOS process SPT 170 and circuit techniques that strongly emphasize the physical device properties (e.g. buffers with DMOS outputs, n-type supply voltage switch, accuracy by polyresistors) yielded a very robust 30mm2 SLIC. All transmission specifications are met without trimming. Naročniški linijski vmesnik (SLIC) v novi 170V tehnologiji Ključne besede: kartice linijske elektronske, SLIC vezje vmesniško linije naročniške, HV-SLIC vezje vmesniško linije naročniške visokonapetostno, SPT tehnologija močnostna inteligentna, SPT 170V tehnologija močnostna Inteligentna, IC vezja integrirana, zvonenje telefonsko notranje, bufferji izhodni, senzorji toka linijskega, stikala napetosti napajalnih, SLICOFI vezje vmesniško linije naročniške in KODEK filter, BiCMOS CMOS vezja bipolarna, DMOS MOS vezja dlfundirana dvojno, centrale telefonske Povzetek: Prikazano integrirano vezje ima visokonapetostne funkcije elektronskega centralnega naročniškega linijskega vmesnika brez uporabe transformatorjev ali relejev. Nasprotujoče zahteve, kot so nizko impedančno napajanje v območju 150V, tokovno zaznavanje z relativno točnostjo 0.2%, relativna točnost in stabilnost s kapacitivnimi bremeni do 200 nF ter delovanje v težavnem okolju telefonske linije so bili izziv za integracijo naročniškega linijskega vmesnika SLIC. Z novo razvito tehnologijo BiCMOS/DMOS SPT 170 ter z načrtovanjem, ki močno poudarja fizikalne lastnosti elementov (n.pr. izhodni krmilniki z DMOS tranzistorji, stikalo tipa n za napajalno napetost, točnost polsilicijevih uporov) je bil izdelan robusten naročniški linijski vmesnik (SLIC) na površini 30 mm Vse specifikacije prenosa so dosežene brez dodatnega doravnavanja. Introduction A complete two chip solution for the analog linecard has been realized, combining a high voltage SLIC with a complex mixed signal IC (SLICOFI) in 1|im - BiCMOS technology /1/. From the functional block diagram of fig.1 the main strategies concerning the system approach can be seen: - the SLIC provides low impedance DC- and AC-feed of the telephone line; the resulting line current is sensed and fed back for impedance synthesis. - both AC- and DC-control loops extensively utilize the benefits of digital signal processing (DSP), i. e. high flexibility due to fully programmabe characteristics (receive/transmit gain, impedance matching, trans-hybrid balancing, DC feed characteristics, supervision functions) without the need for external components. - ringing signals as well as metering pulses (12/16 kHz signals with up to 5 Vrms) are generated on SLICOFI, and amplified and fed to the line by the SLIC - the chip partitioning follows a simple economic guideline: as many functions as possible are shifted to the digital domain to save external parts, and as few as possible are realized on the high voltage part to save overall chip area. These features bring about cost advantages in both new access networks and conventional central offices. In spite of its functional simplicity, however, the integration of a SLIC is a difficult task. First of all, driving the two-wire telephone line requires high voltages. Particularly in the ringing state most other electronic solutions disconnect the SLIC, and switch an external ring generator to the line by means of ring relays. A voltage capability of about 70 to 90V then is sufficient for DC line feed and voice signal transmission /2/. In contrast, our system offers internal ringing; however, taking into account a DC-voltage of about 20V for ring trip detection, a 150V supply is needed for exceeding 85Vrms differential ("balanced") ring signals. On the other hand, the system's signal transmission specifications (longitudinal balance) demand analog circuits with 0.2% accuracy. Stability over a very wide load range and robustness against overvoltages, lightning surges and power shorts are further essential criterions. Technology The key issue is the selection of a proper technological concept. So we started an investigation to compare the possible approaches: dielectric versus junction isolation, bipolar versus BiCMOS. With lower cost than dielectric Isolation and better performance than pure bipolar, our starting point has been a 75V Smart-Power- 3 Informacije MIDEM 27(1997)1, str. 3-7 B. Zojer, R. Koban, R, Petschacher, W, Sereinig: A Subscriber Line Interface Circuit (SLiC) in a New 170V Technology Fig. 1 : System Block Diagram BiCMOS process, SPT75 /3/, with a DMOS- and a lateral PMOS-transistor as the high-voltage (HV-)devlces. This basic device concept, completed by high precision poly resistors, base layer resistors, MOS capacitors and Zener diodes, has been maintained in the new SPT170 process (table 1). To achieve the goal of breakdown voltages exceeding 170V, in a first step layer thicknesses and dopings had to be adjusted. Then device layouts had to be optimized with respect to breakdown: as the uppermost principle we regarded, that breakdown should never appear at the surface, but always in the silicon bulk, to significantly enhance destruction power and therefore robustness. Extensive numerical device simulations helped to adequately design surface topology and field plates. As an example, fig. 2 shows the cross section of a DMOS transistor. The channel length of this high volt- D S ( n+-bl Fig. 2: Cross section of DMOS transistor age device is defined by the difference in outdiffusion of n+-source and p-bulk; this allows a relatively small channel of about 1.5 ¡.im. A deep contact hole has to be etched through the source to connect the bulk. The potential distribution in the n" drain region formed by a 19|im epi layer is essentially influenced by the shape of the poly silicon gate. An additional p+ layer is introduced to enhance robustness; a well defined planar junction breakdown (bulk/drain) is forced to occur at a lower voltage than any destructive breakdown at the surface. Table 1 Active devices in SPT170 n p lat. PMOS with p" Drain-Extension 7.5 jim 80 nm -170 v 50 Qmm2 bulk type ß (0.1mA) fT bipolar vCE0 VCB0 type NMOS PMOS min. gatelength 5 6 pm CMOS gate- 80 80 nm oxide max. VDS 11 30 V type DMOS (cell-based) eff, gatelength 1.5 gate-oxide 80 HV max. 170 VDS 2 spec, on-resist. bulk breakdown loc. veri, npn lat. pnp 70 100 250 4 MHz 70 -20/-100 V 110 <-100 V 4 B. ¿ojer, R. Koban, R. Petschacher, W. Sereinig: A Subscriber L¡ne|nJerface_Circuit_(SLIC) jn_a New 170V Technology Informacije MIDEM 27(1997)1, str. 16-22 Architecture Fig. 3 gives a block diagram of the HV-SLIC. The main functions are feeding of the telephone line (DC and AC) and sensing of the transversal and lateral line currents. The input voltage V2W contains both the DC- and AC-information; it becomes amplified by 20, phase split-ted and related to the internal "high voltage" supplies VBAT' and VH', respectively, to yield the line voltages Va and Vb. Two unity gain buffers then directly drive the TIP- and RING-wire. ZL Fig. 3: HV-SLIC Block Diagram While for normal conversation a single negative supply VBAT of typically -48 to -70V is sufficient (VH' = GND), the transmission of ring signals requires a switchable positive auxiliary voltage VH (up to +80V). These extended supplies are also useful for driving very long lines ("boosted battery" mode). Besides, a ±5Vsupply system VDD, VSS is available and utilized whenever possible to minimize power dissipation. The state decoder controls the various operating modes, including a "Power Denial" mode with bias currents totally switched off. In order to achieve sufficient rejection from the power supplies to the signal voltage Vab (= Va - Vb), Vb must not be directly derived from the battery voltage VBAT, but from a filtered supply VBAT'. The gm/C-type Supply Filter provides the required 40 dB suppression in the voiceband (300 to 3400 Hz). The current sensor has to scale the line currents la and lb by very precisely the same factor of 100 and to subsequently add and subtract the scaled currents yielding images of the transversal and longitudinal line current IT and IL, respectively. This allows separation of the transversal signals from longitudinal distortions. Circuit Description a) Buffer Obviously, the buffer plays a key role as the actual interface to the telephone line. It must be able to both sink and source line currents up to 100mA, independent of the output voltage. The output voltage itself covers the whole supply range with only a few volts of allowed drop. Stability has to be assured for a very wide range of AC load impedances at any DC-current from zero to ± 100mA. To achieve sufficient suppression of longitudinal signals, very low output resistances in the sub-Q range have to be realized. Efficient current limiting and thermal protection is also required. We closely investigated possible structures and soon rejected the more common solutions with complementary devices in the output stage, as the HV-devices of our process behave strongly unsymmetrical. So we chose the circuit concept of fig. 4 with its pure DMOS output stage. The combination of two 100-cell DMOS transistors - source follower D2 and common-source transistor D1 operated in a local feedback loop with opamp A - offers a simple solution to a key problem of class A/B amplifiers, the quiescent current control. This current through the output stage at zero line current is crucial for stability of the structure; here it is defined by 11 and the ratio of D2 and D3, as their source potentials are forced to be equal by means of opamp A. However, DMOS-matching is rather poor, so a part of the more critical quiescent current through D1 (~300jiA) is determined by HVP2. VH" Sensor (Part) toFÜNG-Buffer Fig. 4: Buffer Concept and 100:1 Sensor Current Mirror The question remains, whether this structure can be stabilized for small external Rp (30Q), protection capacitances Cp in the nF-range and arbitrary line impedance ZL, We succeeded with the structure of opamp A shown in fig. 5. It consists of a HVPMOS input pair, while all other stages (current mirrors, gain stage BN1/P1, emitter follower BN2) employs true BICMOS circuitry with low voltage transistors. An own internal supply voltage 10V above VBAT thus has to be realized using MOS diodes. Due to the large load capacitance, the common pole splitting compensation scheme fails. By returning CC to the emitter of BN3 rather than to the gate of D1, the additional gain of the loop CC, BN3, BN2 and D1 lowers the high frequency output resistance /4/. N4 is included to avoid saturation of BN3. A similar structure is used as the input amplifier. The whole buffer features a unity gain bandwidth of 1 MHz and is stable under all possible operating conditions, provided a minimum Rp and Cp of 30Q and 100pF, respectively, are used. 5 informacije M1DEM 27(1997)1, str. 3-7 B. Zojer, R. Koban, R. Petschacher, W. Sereinig: A Subscriber Line Interface Circuit (SLIC) in a New 170V Technology Measured output resistances are below 1Q with a sink/source mismatch below 0.1 Q in the voiceband. S1 S2 S3 "GND" 0 0 +5 "VH" +5 -5 0 tip sf HU VH Y IX BP1 GND BN1 r* 0-|[D2 «-# D1 I -5V 0 VH' vbat Fig. 5: Buffer Opamp b) Sensor The demands on longitudinal signal supression requires very accurate current sensing. We aimed to realize the 0.2% matching of the scaling factors without trimming. The only chance to achieve this is to let accuracy be determined by the best matching passive components available. So the sensor and most other accurate circuitry is composed of current mirrors similar Fig. 6: Supply Voltage Switch to that in fig. 4 (30Q, 3000Q, HVP1 and opamp B). Here the current ratio is the inverse resistor ratio, provided that the opamp gain is high enough. The folded cas-code structure with npn input stage and PMOS current sources we used, achieves a gain bandwidth product of 8MHz. This corresponds to a gain of several thousands in the voiceband, sufficient for the required overall accuracy. After having investigated the electrical, mechanical and thermal behaviour of several resistor layers, a phospho- —" nî'x. ¡lfi§ , t H e in, ,'r; * I • -'-Ix : « ssv»' s* i > -a ^ ft %J I I " i Fig. 7: Chip Microphotograph 6 B. Zojer, R. Koban, R. Peischacher, W. Sereinig: A Subscriber Line Interface Circuit (SLIC) in a New 170V Technology Informacije MIDEM 27(1997)1, str. 16-22 rus-doped polysilicon layer of 300nm and 30fi/square was the best selection; together with a proper resistor arrangement (the 30 and 3000Q of fig. 4 are composed of identical 300Q resistors in series or in parallel in a strictly alternating layout) for compensating on-chip temperature and stress gradients, all demands are fulfilled without trimming. c) Power Supply Switch A straightforward solution for the supply switch, a p-type transistor to VH and a high voltage diode to GND, has the severe disadvantage of high resistances due to the low doping levels. We once more searched for a pure n-type solution and found that of fig. 6. In position "VH" the operation is evident: npn BN1 forms a very low-resistive path to VH, BP1 delivers the base current; D2 as well as D1, the switching device to GND, are off. When D1 is switched on (S3 to +5V), it is operated inversely, i.e. with VDS 0. Because of the parasitic D/S-diode we must choose D1 large enough to ensure, that this diode will not become forward biased. With 300 cells, the maximum voltage drop is about 300 mV. The problem remains, that in the SPT170 process VCE0 of BN1 is not sufficiently high to withstand VH. Our solution is to switch the base of BN1 to -5V via D2. Now breakdown of BN1 is governed by VCB, and this breakdown voltage lies beyond 100V. Realization and Results The HV-SLIC has been realized on a 6.6 x 4.4mm2 chip. In the chip micrograph of fig. 7 the two buffers with their four output transistors on the right, beside the switch with its large DMOS, and the precision sensor resistors in the chip center are clearly recognizable. Approximately 1000 devices have been integrated and packaged into a newly developed surface mountable Power-DSO-20 package. The die is attached on a copper-slug for heat spreading purposes; additionally, a heat sink may be used that helps achieving a thermal resistance below 20 K/W (fig. 8). Our SLIC fully met all transmission specifications in the first design step without any trimming. Atypical problem of high-voltage ICs, the drift of parameters due to field induced moving of oxide charges, has been investigated carefully by extensive stress tests; the results indicate sufficient long term stability. None of the further notorious parasite HV-effects like surface channelling or MMMMMR v AI-HeatsinfcW ; • J< ff Müi PCB Fig. 8: Power DSO Package latch-up appeared, not even at an arbitrary switching sequence of the supply voltages, and the design proved itself to be very rugged against disturbances and transients at the line outputs, including lightning surges. This is mainly due to a consequent observance of some global strategies: - breakdown always in the bulk, never at the surface to avoid destructive effects at low power - closed poly guard rings around each device, properly biased, to ensure the absence of surface channels - strict avoiding of substrate currents and saturation of bipolar transistors to minimize latch-up probability - consideration and simulation of all kinds of possible distortions (lightning) to guarantee never to exceed destructive power densities Table 2 is a summary of the most important SLIC characteristics. Meanwhile design and technology could prove themselves to be very well suited for reliable high volume production. Table 2 SLIC Characteristics Max. Supply Voltage 150 V Max. Output Current 100 mA Power Dissipation Convers. (ILoad=0) Ringing (ILoad=0) 250 mW 1300 mW Gain Flatness (300 Hz ... 3.4 kHz) 0,01 dB Longitudinal Rejection on Vab IT 70 dB 90 dB Psoph. Noise on Vab -80 dBmp Metering Signal Distortion (5Vrms, 16kHz) 0.02% PSRR all supply volt. / Vab > 40 dB Max. Ringing Voltage 85 Vrms References /1/ R. Czetina, B. Astegher, L. Gazsi, T. Under, H. Zojer, "SLICOFI, a New Approach to an Integrated One Chip Subscriber Line interface and Codec Filter", Proc. ESSCIRC '94, pp. 136-140 /2/ R. J. Apfel et ai, "A Subscriber Line Interface Circuit with an Internal Switching Regulator", Proc. ISSCC83 /3/ H. Zitta, Driver Circuit for an Automotive Smart Power System Chip", Informacije MIDEM 26 No.1, March 96 /4/ R. Castello, F. Lari, M. Siiigoni, L. Tomasini, "100V High-Per-formance Amplifiers in BCD Technology for SLIC Applications", IEEE J. Solid State Circuits, Vol. 27, No. 9, Sept. 92, pp. 1255-1263 B. Zojer, R. Koban, R. Petschacher, W. Sereinig SIEMENS Microelectronic Design Center Siemensstr. 2, A-9500 Villach Tel.: +43 4242 305 0 Fax: +43 4242 305 223 Prispelo (Arrived): 21.02.1997 Sprejeto (Accepted): 25.02.1997 7 Informacije MIDEM 27(1997)1, Ljubljana UDK621,3:(53 + 54 + 621 +66), ISSN0352-9045 * # * Dejan Križaj , Walter Bonvicini , Slavko Amon University of Ljubljana, Faculty of Electrical Engineering, Laboratory Electron Devices, Ljubljana, SLOVENIA NFN / Area di Ricerca, Trieste, Italy Keywords: semiconductors, radiation detectors, high-energy particles, high-energy particle detectors, monocrystalline silicon solid-state detectors, high-resistivity substrates, FOXFET structure, Field-OXide mosFET transistor, numerical modeling, semiconductor devices, oxide charges, dynamic resistances, biasing, accumulation layers Abstract: FOXFET structure is used to bias detector structures built on high ohmic substrates. Its basic advantage is device simplicity and high dynamic resistance. However, several design parameters and processing imperfections can influence operation of the device. The work presents analysis of operation of the FOXFET structure using numerical device simulation. The floating strip junction has been modeled by a zero current source applied to the strip junction. The oxide charges, forming accumulation of carriers at the semiconductor/oxide interface significantly influence the strip potential and result In current flow of carriers from the strip to the drain through the bulk, avoiding the accumulation layer. Dynamic resistance of the FOXFET structure has been modeled by numerically differentiating the Vs/ls data, and show decrease of dynamic resistance with increasing strip current, consistent with the measurement results. Ključne besede: polprevodniki, detektorji sevanja, delci visokoenergijski, detektorji delcev visokoenergijskih, detektorji polprevodnlški monokristalni silicijevi, substrati visokouporovni, FOXFET MOSFET strukture poljskooksidne, modeliranje numerično, naprave polprevodniške, naboji v oksidih, upornosti dinamične, določanje točk delovnih, plasti akumulacijske Povzetek: FOXFET struktura nadomešča uporovni element potreben za priključitev polprevodniškega detektorja radiacije, procesiranega na visoko-ohmskem substratu, na napajanje. Glavna prednost te strukture je enostavna zgradba ter visoka dinamična upornost, pomanjkljivost pa močan vpliv procesnih parametrov in še posebno strukturnih neidealnosti. V tem delu je predstavljena analiza delovanja FOXFET strukture s pomočjo numerične simulacije. Plavajoč spoj strip/substrat (spoj brez priključene napetosti) je modeliran z ničnim tokovnim virom priključenim na strip. Naboj v oksidu povzroči akumulacijo nosilcev na površini spoja polprevodnik/oksid in močno vpliva na potencial stripa ter povzroči, da tok nosilcev naboja od stripa proti ponoru ne teče ob površini polprevodnika pač pa preko notranjosti polprevodnika. Dinamična upornost FOXFET strukture je bila modelirana s pomočjo numeričnega odvajanja krivulje Vs/ls in kaže na zmanjšanje dinamične upornosti z večanjem toka stripa, kar je v skladju z rezultati meritev. 1. Introduction: Silicon strip detectors are gaining importance for detection of particles in high-energy physics experiments. Such detectors are particularly suitable for detection of high-energy particles with high energy and spatial resolution. In recent years an increased number of applications in other fields - especially medicine - have emerged as well. Strip detectors are built on very high resistivity (almost intrinsic) silicon wafers, enabling full substrate depletion at reverse voltages of few tens of volts. Such detectors are basically constructed by rows of diffused pn junctions (strips), with a spacing between the strips ranging from a few up to few tens of microns and a corresponding pitch (width of the strip + distance between the strips), depending on the required spatial resolution of the detector. The particle hitting and crossing the detector generates electron-hole pairs that are following electric field established by reverse biased strip junction and are collected by the strip and the backplane electrodes. The signal can be detected as an increase of the reverse current, known as a DC method /1/. Instead of measuring the current increase, an AC method can be applied, where the signal is detected as a change in the collected charge /1 /, This can be accomplished by placing a MOS electrode over the strip junction (Fig. 1) that is responding to the change in the strip charge by the change of a gate charge. For high resolution at low temperatures a direct coupling is suitable due to low input capacitance. The advantage of the first concept is also a well controlled leakage current. A charge sensitive preamplifier is very suitable as a feedback capacitance of charge amplifiers can be chosen to be very stable and thus minimize the noise of the system /1/. Each strip should be appropriately biased in order to establish total depletion of the detector. One way of achieving this is by the use of polysilicon resistors /2/. This technique is well appreciated due to low susceptibility to oxide charges and operating conditions. On the other hand, additional processing steps increase de- 8 D. Križaj, W. Bonvicini, S. Amon: Opération of the FOXFET Structure for Biasing Si Strip ... Informacije M1DEM 27(1997)1, str. 8-15 v=o Biasing resistor Readout —J> electronics Front-end preamplifier Substrate a) ^ Back bias electrode V<0 V=C Coupling Capacitor Biasing resistor Pf An improvement of the reach-through concept is gained by placing a MOS electrode between the strip and the biasing junction /4,5,6/. This biasing structure is known as a FOXFET structure (Fig. 2). FOXFET Is basically a MOSFET transistor with a gate over the field-oxide, drain acting as a biasing junction and source as a strip. However, its operation differs significantly from a usual MOSFET operation. First of all, FOXFET is built on high-resistivity substrates ( > 1 kQ-cm) resulting in significant depletion region spreading from the reverse biased drain/substrate junction and second, the source junction is at the same time used as an active detector structure. Furthermore, source junction does not have externally applied voltage, but attains a potential from depletion layer spreading from the reverse biased drain/substrate junction in a similar manner as the floating guard-ring termination structure for improvement of breakdown voltages of high-voltage devices /7/. Floatina strip (Source) MJmvm.... Coupling Capacito: Readout electronics Gated punch-through - FOXFBT structure Gate ? Drain 0 Fig. 3: Dimensions and parameters of the simulated FOXFET structure. The dimensions of the simulated structure are shown in Fig. 3, while typical simulation parameters were: substrate doping (n-type) concentration NSub 3.8-1011 cm"3 (resistivity 10kQ.cm), p-type junction with Gaussian doping profile with surface doping concentration Nsurf= 1 -10 cm"3 and junction depth Xj=0.5 jim, oxide thickness xox=1 (J.m with fixed oxide charges of QF/q = 1.1011 - 8.1011 cm"2, substrate thickness WSub=300 jim, channel lengt L = 13 jim and carrier lifetimes Tn=Tp=0.5 msek. 3. OPERATION OF THE FOXFET STRUCTURE Normal operation of the FOXFET structure is obtained by applying reverse bias between the drain and the backplane (drain connected to the ground, backplane to a positive potential). As the strip can in practice be very long (few centimeters), an additional current drawn by the strip can be modeled by an increased injected current using a current source generator attached to a strip contact. Gate contact is usually connected to the drain contact, drain junction thus acting similar to a junction equipped with a field-plate termination /7/. By varying the gate voltage, depletion layer spreading from the drain to the strip junction is modulated and thus a control over the strip potential is obtained. Q) o c OJ -»—' w b a) Fig. 4: a^=iv \ 5- Ü iw \ ' I 1 ! 1 T 1 216 220 Distance [|jm] i111 T '' ' 1 I 1 224 228 232 b) 20 -19-18- 7 6 5 — 4 3-g 2-3 1 0 -1-3 -2 — -3 -4 •5 ■6-5 -7 X-X Electron Cone (/cm3) Hole Cone (fcm3) BHHtX-) rry-| i i j i nrpmfjTTTi i i i j-rrrj-rrr | i i i | it 2 4 6 8 10 12 14 16 18 rj-tt 20 Distance [pm] FOXFET structure with zero oxide charge at 30 volts reverse bias: equipotential lines and hole concentration (a), hole and electron concentrations at the semiconductor/oxide interface (b). 10 D. Križaj, W. Bonvicini, S. Amon: Operation of the FOXFET Structure for Biasing Si Strip Informacije MIDEM 27(1997)1, str. 8-15 3.1 Influence of the oxide charges Operation of the FOXFET structure depends strongly on. the content of the oxide and interface charges. This is especially significant as already a small amount of oxide charges induces a charge at the semiconductor/oxide interface which can be significant comparing to charge obtained by a reverse biased junction (depleted substrate area). If no oxide charges are assumed (only a theoretical case), depletion layer width calculated for an abrupt one-dimensional structure with NSub=3.8'1011cm"3 at built-in voltage is more than 40 ^m. For typical channel lengths of about 10 /jm this means that the strip/drain junctions are in reach-through condition already at no reverse voltage applied. The area between the drain and the strip is completely depleted of carriers and the strip potential is close to the drain potential for increased drain/backplane reverse bias. Fig. 4 shows equipoten-tial lines and hole concentration in the channel region as well as electron and hole concentrations at the interface for a structure without oxide charges at 30 volts of reverse bias and zero gate voltage. Electron concentration is negligible while hole concentration is increased in the channel region at the surface. Potential of the strip is practically identical to the drain 0 o c CD - W h a) Fig. 5: 212 216 220 224 228 232 Distance ftjm] one, which does not enable proper operation of the FOXFET structure. However, an increased strip/drain voltage can be obtained by a positive gate voltage, increasing electron concentration at the surface and thus slowing depletion layer spreading from drain to strip junction. The presence of oxide charges significantly alters the behavior of the device. Several kinds of charges are present in the oxide, depending on the starting material, processing and operation of the device /10/. However, altogether they are of a positive sign /10/, inducing in n-type semiconductor accumulation of electrons at the oxide/semiconductor interface. Accumulation of electrons acts similar to locally increased donor doping concentration. This results in reduced depletion layer spreading from the drain to the strip junction (depletion layer increases inversely proportional to the square root of the doping concentration) and thus a potential difference between the junctions is increased. Fig. 5 shows equipotential lines and electron concentration for the same operating conditions as in Fig. 4 but with inclusion of fixed oxide charges of QF/q=10'1 cm"2. Instead of a hole concentration, in this case an accumulation of electrons at the surface is shown. As a consequence, equipotential lines are denser between the drain and the strip junction and the strip potential differs i i i | i i-rp-rrpr i i | i i i | i i i | i i i | rn-|-rnyn i j i 2 4 6 8 10 12 14 16 18 20 b) Distance [pm] FOXFET structure with oxide charge Qf/<7 = 7 011 cm"2 af 30 volts reverse bias: equipotential Unes and electron concentration (a), hole and electron concentrations at a semlconductor/oxide interface (b). 11 Informacije M1DEM 27(1997)1, str. 8-15 D. Križaj, W. Bonvicini, S. Amon: Opération of the FOXFET Structure for Biasing Si Strip ... 3.1011 cm"2) the strip potential changes very weakly for further increased oxide charge densities. The reason is depletion layer spreading, avoiding the electron accumulation region at the oxide/semiconductor interface and reaching the strip junction from the bulk of the device. 10 1 6 o. y 4 o Q. 0 0 5 10 15 20 25 30 35 Reverse Bias [V] Fig. 7: Strip potential as a function of drain/backside reverse voltage for oxide charge densities of Qf/q=1011cm'2, 3.10^ cm'2, 5.7011 cm'2, 1.10)2 cm'2 atVQ=0V. 12 D. Križaj, W. Bonvicini, S. Amon: Opération of the FOXFET Structure for Biasing Si Strip ... Informacije M1DEM 27(1997)1, str. 8-15 3.2 Influence of the gate voltage A similar square root behavior as from VsMev(Qf) is obtained by changing the gate voltage as shown in Fig. 8 for oxide charge density of QF/q = 5.1011 cm"2. Positive oxide charges, located just above the oxide/semiconductor interface, induce accumulation of electrons at the interface. This results in highest strip potential at Reverse Bias [V] Fig. 8: Strip potential as a function of drain/backside reverse voltage for gate voltages Vg=0to -20V and oxide charge density of Qf/q =5.7011 cm"2. Vg—OV. The influence of oxide charges can be reduced by applying a negative gate voltage. If gate voltage is such that completely neutralizes the effect of positive oxide charges, the drain depletion layer is free to spread toward the strip junction, resulting in strip potential equal to the drain one as already shown in Fig. 4. For fixed oxide charges of QF/q=5.1011 cm"2 and oxide thickness of 1 ¡jm, this situation can be approximately evaluated by equation AVg = = 23 volts (2) C0 where Co=(eo-eox)/xox- This result is in good agreement with simulation results. Fig. 8 further shows weak dependence of gate voltage at low applied gate voltages. These voltages are too small to considerably reduce the electron accumulation layer atthe oxide/semiconductor interface. 3.3 Current flow in the FOXFET structure Since the strip junction is floating (its potential depends on depletion layer spreading from the reverse biased drain/substrate junction), and is at the same time reverse biased toward the substrate, it needs to be in a certain point forward biased in order to satisfy a condi- Potential (V) Electron Cone (/cm3) Hole Cone (/cm3) 49-06 O 2e-06 — 1.58-06 — X—X Electron Cone (/cm3) 0—0 Hole Cone (/cm3) i---t Tolal Current Density (A/cm2) 40 80 120 160 200 240 280 Distance along line Distance along line Fig. 9: Potential, total current density and hole and electron concentrations for a vertical cross-section of a FOXFET structure with Qp/q=5. "7011 cm'2 at 30 volts reverse voltage. 13 Informacije M1DEM 27(1997)1, str. 8-15 D. Križaj, W. Bonvicini, S. Amon: Opération of the FOXFET Structure for Biasing Si Strip ... tion of zero current sum of currents entering and escaping the strip (first Kirchoffs Saw). As a result, reverse current entering the strip junction (holes in n-type semiconductor) is injected back into the substrate and flows through the FOXFET into the drain junction. Current conduction in a FOXFET structure is similar to conduction in a punch-through pnp structure, which is assumed to be governed by thermionic emission /11/. However, thermionic emission is typical for punch-through structures with highly doped base regions (BARRIT structures, for example) /7/, while in our case, the channel region (base) is very weakly doped. Furthermore, the current flow through the FOXFET is limited by the reverse current collected by the strip junction. As a result, it can be assumed that no thermionic emission is taking place in conduction of a FOXFET structure, but rather "simple" drift-diffusion rules the conduction of the carriers /12/. Drift component of the current prevails in the drain region as can be also deduced from the density of the equipotential lines in figures 4 to 6, while in the strip region of the FOXFET structure electric field is very weak. As a result, the carriers in the strip region move by diffusion. Figure 9 presents potential, total current density and hole and electron concentrations in a vertical cross-sec-tion of a FOXFET structure at the end of the strip junction. The potential bends at the oxide/semiconductor interface, reverse biasing the strip/substrate junction in this part of the FOXFET structure. A closer look reveals (Fig. 9b) that this is due to the electron accumulation layer, which is still significant in this part of the structure. As a consequence, strip junction is forward biased toward the bulk of the FOXFET structure, which is obvious from an increased hole concentration located few microns from the interface. The current is thus not flowing at the oxide/semiconductor interface but rather few microns from the interface, avoiding the electron accumulation region. This is obvious also from high total current density in Fig. 9 located few microns from the interface. 3.4 Dynamic resistance One of the most important parameters of the FOXFET structure is its resistance, or better its dynamic resistance, as this parameter determines the proper strip biasing as well as affects the noise of the detector. Dynamic resistance is defined as Rd = (5Vs)/(3ls)- In general, resistances over 100 MO can be obtained at very low currents /6/. By approximating the dynamic resistance of the FOXFET structure by a dynamic resistance of an ideal pn diode, the resistance decreases approximately inversely with the increase of the strip current /6/. This can result in unacceptably low dynamic resistance at high strip currents. Dynamic resistance has been modeled by a current source attached to the strip. Increasing the strip current by a current source at 30 volts of drain/backside reverse bias a Vs/ls curve is obtained. This curve can be numerically differentiated to calculate the dynamic resistance. Figure 10 shows extracted dynamic resistance for gate voltages from 0 to -15 volts. It should be noted that the scale is in [Q .,um] and [A/jum] due to the use of a 2D simulation. No change in dynamic resistance is obtained for small strip currents while increasing strip current results in a reduction of dynamic resistance with a slope of approximately 0.8. A reduced slope at high currents is due to the SOLO current conduction effect /12/. Strip Current [A/pm] Fig. 10: Dynamic resistance of the FOXFET structure with 30 V drain/backside bias, Qp/q=5.10u cm'2 and xox=1 urn. 4. CONCLUSIONS This work presented analysis of a FOXFET structure for biasing detector structures built on high-ohmic substrates by the aid of numerical device modeling. A general purpose two-dimensional device simulation program SPISCES, solving drift-diffusion equations has been used for this purpose. In order to model FOXFET structure properly, the floating strip junction was connected to a zero current source. The current source connected to the strip junction was further used to extract dynamic resistance of the structure. Modeling has revealed that oxide charges, forming an accumulation layer of carriers at the semiconductor/oxide interface play the most significant role in determination of the strip potential. However, gate voltage can be applied to balance the influence of the oxide charges. It has been further shown that due to the formation of an accumulation layer, the carriers collected by the strip junction flow to the drain through the bulk, avoiding the accumulation layer. Few equipotential lines in the strip region indicate that carriers in this region move towards drain by diffusion and by drift in the second half of the channel region. REFERENCES /1/ G. F. Knoll, "Radiation detection and measurement", 2nd. edn., Wiley & Sons, Inc. 1989. /2/ M. Caccia etal., Nucl. Inst, and Meth. A260(1987) 124. /3/ H. Becker etal., IEEE Trans. Nucl. Sci. NS-37(2) (1990) 101. /4/ J. Ellison et al., IEEE Trans. Nucl. Sci. NS-36(1) (1989) 267-271. 14 D. Križaj, W. Bonvicini, S. Amon: Opération of the FOXFET Structure for Biasing Si Strip ... Informacije M1DEM 27(1997)1, str. 8-15 15/ P. P. Allport et al., Nucl. Inst, and Meth. A310 (1991) 155-159. /6/ M. Laakso et al., Nucl. Inst, and Meth. A326 (1993) 214-221. /7/ M. S. Sze, "Physics of semiconductor devices," Wiley & Sons, Inc., 1981. /8/ N. Bacchetta et al., IEEE Trans. Nucl. Scl. NS-40(6) (1993) 1602-1609. /9/ Atlas User's Manual, vers. 4.0, June 1995, SILVACO International, Santa Clara, CA, USA. /10/ D. K. Schroder,"Semiconductor Material and Device Characetrization," Wiley & Sons, 1990. /11/ N. Bacchetta et al., IEEE Trans. Nucl. Sci. NS-41 (4) (1994) 804-810. /12/ D. Krizaj et al., Nucl. Inst, and Meth. A, accepted for publication. Dr. Dejan Križaj, dipl. ing. Prof. dr. Slavko Amon, dipl. ing. Fakulteta za elektrotehniko, Tržaška 25, 1000 Ljubljana tel.: +386 61 1768 303 fax: +386 61 1264 630 Dr. Walter Bonvicini, dipl. ing. INFN / Area di Ricerca Padriciano 99, I - 34012 Trieste tel.: +39 40 3 756 264 fax: +39 40 3 756 258 Prispelo (Arrived): 27.1.1997 Sprejeto (Accepted): 25.2.1997 15 Informacije MIDEM 27(1997)1, Ljubljana UDK621,3:(53 + 54 + 621 +66), ISSN0352-9045 MODELING AND SIMULATION OF A MICROSYSTEM WITH SPICE SIMULATOR !. Zelinka, J. Diaci*, V. Kune, L. Trontelj, Faculty of Electrical Engineering, University of Ljubljana, Slovenia ^Faculty of Mechanical Engineering, University of Ljubljana, Slovenia Keywords: MST, MicroSysTems, definitions, simulations, nondifferential capacitive measurements, bipolar measuring ranges, capacitive micromechanical sensors, CAST, Custom Application Specific Technology, development trends, mechanical analysis, SPICE model, actuating capacitors, measuring capacitors Abstract: In the paper Microsystem (MST) definition and development trends are described. Modeling of a capacitive micromehanical sensor is presented. Verification of dynamical behavior is analized. Mechanical analysis and the SPICE model of the mechanical part of the sensor are shown. Modeliranje in simuliranje mikrosistema s simulatorjem SPICE Ključne besede: MST mikrosistemi, definicije, simulacije, meritve kapacitivne nediferencialne, območja merilna dvosmerna, senzorji mikromehanski kapacitivni, CAST tehnologija specifična uporabniško aplikacijska, smeri razvoja, analiza mehanska, SPICE model, kondenzatorji aktivatorski, kondenzatorji merilni Povzetek: Opisana je definicija mikrosistema (MST) in razvojni trendi. Prikazano je modeliranje kapacitivnega mikromehanskega senzorja in analizirano je dinamično obnašanje sistema. Podana je mehanska analiza in SPICE model mehankega dela senzorja. 1 INTRODUCTION The basic difference between ICs and microsystems is shown on Fig. 1. While ICs mostly handle information, MSTs usually deal with energy. They always represent the complete system required to perform the desired function. comniumcalion Packaged MST Fig. 1: Microsystem definition The introduction of microsystems followed the same basic rules which promoted the development of ICs. They are small and require low power. A large number of them can be manufactured simultaneously, thus offering lower costs and greater reproducibility. In addition, the ratio of performance versus price is far superior to that of the lumped versions. Two basic differences in comparing ICs and MSTs are essential: only few atoms are required to handle information in a well optimized and carefully designed IC, while the dimension of MST depends on the amount of energy to be manipulated. Therefore the same scaling rules as well as Moore's Law do not apply. Development trends of ICs are still widely governed by the development of optical lithography. We see the advent of 0.18 jum custom application specific technology (CAST) for volume production and a substantial increase of the diameter of silicon wafers. Tools for the development of photoplates capable to be used together with the advanced imaging techniques are emerging. On the contrary, the smaller and finer geometries in MSTs are not vital or even possible considering the amount of energy to be handled in specific application. Therefore the MST related activities are reserved for those environments of design and production which are not able to compete in the every day financially more demanding new equipment procurement and refined fab environment associated with the deep submicron technologies. Therefore, it is viable that the Laboratory for Microelectronics (LMFE) aggressively entered the new exciting field of MSTs, offering new applications in the fields of data storage, displays, communications, IR imaging, biochips, micromachines, and microinstruments. Although there exist remarkable simulation tools, which offer great support to a designer confronted with specific design problems in the field of electrical/electronic or mechanical engineering, there's a very acute lack of simulation software which would allow efficient solutions to coupled electromechanical problems, which are commonly encountered in the field of MSTs. The gap between the two engineering disciplines seems to be too large in any practical situation requiring a solution of coupled electromechanical problems to allow a 16 I. Zelinka, J. Diaci, V. Kune, L. Trontelj: Modelling and Simulation of a Microsystem with SPICE Simulator__Informacije MIDEM 27(1997)1, str. 16-22 microsystems designer to benefit from a coherent use of existing mechanical and electronic design packages. Different schemes exist to construct a micromechanical part of the sensor. However, one which uses a cantilever seems to be the most promising, offering the largest sensitivity for a given size /2/. In the paper we present a non differential capacitive MST sensor which also has definite production advantages over the two capacitor version, but it requires more effort to model it properly. We have adapted the equations describing the micromechanical part of the sensor in a form acceptable as an input to the standard electronic analog simulator. This gives us the ability of prediction of a closed loop behavior of both parts of the system. In the paper we present the analysis and modeling for the chosen MST. The elastic element of the sensor acts as one plate of the sensing and actuating capacitor. Deformation of the elastic element, due to external loads (related to the measured physical quantities), are counteracted by the electronic servosystem, which consists of a capacitive sensor, actuator and signal processing electronics. In the dynamic equilibrium, the actuating electrical force equals the external load. From the parameters influencing the actuating force the external load and the related physical quantities can be determined. 2 STATIC ANALYSIS The configuration of a single capacitor model is on Fig. 2. D ' '' 'I- "fj'tiTf t büuanilt'iü" ^itJ v.....- t - * i wo h actuating and measuring capacitor I d \ .....3 Fig. 2: Cantilever with one capacitor for actuating and measuring The basic equation for deflection w of the cantilever loaded with distributed load q is /3, 4/: cfw dx' = q (1) where E is Young's modulus, I is the area moment of inertia, w=w(x) and x is measured from the tip towards the clamped end of the beam. The boundary conditions are: at clamped end: w(L)=0 w'(L) = 0 at free end: w"(0)=0 w"'(0)=0 For the special case of point force F load we take q = F5(0). The deflection of the beam depends on loads. We consider the beam (cantilever) loaded with one distributed external load qa, and point electrostatic force Fe. We can assume electrostatic force as a point force if capacitor length (Lc) is less than 10% of beam length (L) /5/. For distributed load wq or point force wp we have the following equations describing the deflection /3/: WqW wF(x): qL4 24EI FL 6ËÏ 3-«ûHÛ (2) (3) Of special importance for the analysis are the deflections of the beam tip: Wq(0) = wF(0): qL*. 8EI FL3 3EÏ (4) (5) According to the principle of superposition, the total deflection w(x) under combined loads is the sum of the two contributions: w(x) = wq(x) + wF(x) (6) In order to examine the stability of the system, we assume the beam loaded with one distributed load qa and point electrostatic force Fe. With introducing new variables kF=3EI/L3 and kq = 8EI/L3, which represent stiffness of the beam, we can write eq.(6) for the deflection of the beam tip Fe q„L w0 = wF +wq + (7) In general, Fe is a sum of the electrostatic forces of actuation and measuring. Therefore this equation is valid for an open loop system (no actuation voltage, electrostatic force only due to read-out voltage) and for a closed loop (voltage driven) with one or two capacitors. We seek solutions from the above equation for wo subject to the obvious restriction wo < h. By inserting FE=Fe (h~wc (8) where Fe=1/2 e A (U/h)2 in eq.(7) and by introducing dimensionless variables Wn w„ K = - kFh W„ ma l^h 17 I. Zelinka, J. Diaci, V. Kunc, L. Trontelj: Modelling and Simulation of a Microsystem with SPICE Simulator Informacije MIDEM 27(1997)1, str. 16-22 we can write eq.(7) in form W0=K (1-W0 ■ + W„ There is exactly one value Wo5 where we can fit the required load range amin K<—(1-WJ3 (11) 0 3 27 The system is stable when either ofthe above inequalities hold. With the additional condition K>0 we get a range of possible solutions: 1 + 2W 3W -1 W„ < W0 < Hille. 0 w0 < Wa < iil2_i (12) W08=1/(3-2rJ (13) Example: for the symmetrical bipolar range we have ra=-1 and Wo8=1/5. If we select our Wo above WoS , then the corresponding Wa value is smaller than optimal and we have to increase the beam stiffness/mass ratio kq/m (increase thickness D) to be able to measure the required amin-We will waste some dynamic range on the positive side then, because the corresponding mass, stiffness and Wamax would allow the measurement of higher max. load than required by cxmax- The opposite happens when we select Wo below the optimal value. We have to design beam thickness according to «max and thus waste some dynamic range below amin. We can summarize this discussion with the following formulae: Fig. 3: 0 .....02OA 0.6 Wo - rei. preload deflection Rel. measured load (Wo) vs. rel. preloading (Wo) - shaded area shows the useful range for bipolar measurement W0>W0g:Wamln=(3W0-1)/2=>D = y Eh(3W0 -1) w < w ■ w = w VV0 v VV06. vvamax - VV0 D= |3pL4amax * 2EhW„ (14) (15) There are, of course, practical limitations to D; therefore we shouldn't expect to be able to realize the beam when the selected Wo is close to 1/3 or 0. 3 DYNAMIC ANALYSIS In general, we can have a system with two capacitors that are not located on the same plane. For the analysis of dynamic behavior, we use the system configuration shown on Fig.(4). The capacitor sizes estimated by using the point capacitor models show that in practice both capacitors (meas- POSITIONING OF THE BEAM Since the electrostatic force can not change polarity, we have to preload the beam with a static actuating force Feo and move the beam tip by wo below its initial distance h if we want to measure load in both directions. The stability diagram on Fig.(3) shows that in principle we can perform bipolar measurement for any relative preloading in the range 0 h(x)-w(x,t) (24) In order to solve the upper equation, the initial form (height) of cantilever h(x) has to be known and the deflection w(x,t) calculated. For h(x) we take eq.(19) where hac=0 h(x) = hL + (ho - hi_)(1 -xjty (25) To get the w(x,t) we have to solve the boundary problem in the eq. (17), which we rewrite in order to get the highest time derivative on the l.h.s. w(x,t) = - El 34w(x,t) pDB ax4 1 pDB qd(w,w,x,t) /14, 15/, the spatial variables of partial differential equations are discretized and an algebraic equation is inserted for each node. Discretization schemes for spatial derivatives up to the fourth order /14, 15/ are: 3w(x,t) 3x — *(w*- , -8wx. , + 8wx. — w ) 12h (27) 32w(x,t) _ 1 3x2 ~12h (-wX|_z +16wx._, - 30Xj +16wXj+1 - wx.+2 (28) 33w(x,t) 1 , \ -^—- =-*(-wy. +2wy, ~2wy. + wy 3x 12h ^ ' (29) 34w(x,t) 1 , , "Ik4—" 12h^Wx'"2 ~4Wxm +6Wxi "4Wxi+1 (30) Each equation describes the behavior of the respective slot i, by regarding itself and some of its neighbours in both directions. In our case (eq. 26), w(x,t) is the function to be derived, and x is the spatial variable (for 0 < x < L). The descretization step is h, and n is the number of discretization steps (h = L/n). All equations containing the term 3kw(x,t)/3 xk, where k is the order of the derivative, have to be duplicated n times. The number of discretization steps n is the number of nodes. Eq. (26) for slot i is written in form for HSPICE using E source: E_i w_i_tt 0 vol = '(-konstl *(v(w_(i-2))-4*v(w_(i-1)) + + 6*v(wJ)-4*v(w_(i + 1))+v(wJi + 2))) -konst2*v(q_d_i)+konst2*v(q_a J) + + konst2*v(q_c_i)+v(a))' Values for konstl ,konst2 are calculated and defined as parameters. Deflection w(x,t) is calculated with a simple integrator: XINTEGRATOR_bi wj_tt wJJ INTEGRATOR XINTEGRATOR_ai w_i_t wj INTEGRATOR Index i represents respective slot (0 < i < n) +-q„(w,x,t) +-q (w,x,t) + a(t) (26) pDB ;pDBMcV ; w The proposed method of modeling does not allow direct modeling of partial differential equations or integration over spatial variable. With the implementation of some mathematical approximations, we can extend this work and solve the system of equations describing our cantilever beam. With the method of finite differences (FDM) i-2 i-1 i+1 i+2 Fig. 6: Discretization of spatial variable x 20 I. Zelinka, J. Diaci, V. Kunc, L. Trontelj: Modelling and Simulation of a Microsystem with SPICE SimuIator Informacije MIDEM 27(1997)1, str. 16-22 ■i w.0 Fig. 7: Deflection of the beam for different discretization nodes C , -30C 71.0, M.O «5.0 43.0 M.o; 50.0 ..........if............i...........i..............I.................... ]i j 100.0 150.0 200.0 ZSO.O tlM 300.0 380.0 ■100.0 KS 480.0 600,0 880,0 S0<5.0 Fig. 8: Changing of measuring capacitance with changing of load 21 L Zelinka, J. Diaci, V. Kunc, L. Trontelj: Modelling and Simulation of a Microsystem with SPICE Simulator Informacije MIDEM 27(1997)1, str. 16-22 Spatial Integrals are also discretized and substituted with algebraic equations following the Simpson's rule: fydx = —(y0 + 4y, + 2y2 + 4y3+...+2yn_2 + 4yn„1 + yn) (31) where h = (b-a)/n, with additional condition that n is an even number. So eq.(24) for capacitance of an air gap capacitor in form for HSPICE is as follows: E_C_acc C_acc 0 vol = 'epsilon*B*( 1/(v(hx_0) -v(wj3)) + 4/(v(hx_1) - v(w_1)) + 2/(v(hx_2) - v(w__2)) + 4/(v(hx_3) -v(w_3)) + 1/(v(hx_4) -v(w_4)))' The exact form of equation depends on the length of the measuring capacitor and the number of discretizations steps. 5 RESULTS The results are shown on Fig. (7) and (8). The calculation of the deflection for each node of discretized beam can be seen on Fig. (7) and the resulting capacitance of an air gap capacitor as an output of micromechanical part of sensor on fig. (8). The voltage representing capacitance can be transformed back to capacitance as input for the electronic part with use of Voltage controlled Capacitor. 6 CONCLUSIONS The accuracy of a single mass model is not satisfactory for the selected micromechanical sensor. With the implementation of mathematical substitutions, we developed a model for a system with distributed mass and analysed the behaviour of the sensor with SPICE3 and HSPICE simulator. A comparison of the results acquired by the simulation with HSPICE to those of the MATLAB shows, that an error introduced with mathematical substitutions is one order of magnitude smaller than the resolution of the sensor. The described model allows us to predict the behavior of the micromechanical part, and to simulate close loop measurements. References /1/ L.Hermans: "Trends in Microsystems", IMEC, ARRM 1996 /2/ Ljubisa Ristic (editor): "Sensor Technology and Devices", Artech House, 1994 131 E. P. Popov: "Engineering Mechanics of Solids", rentice Hall, New Jersey, 1990 /4/ Marko Škerlj: "Mehanika - trdnost", Fakulteta za strojništvo, Ljubljana, 1971 /5/ Francis Westo Sears: "Electricity and Magnetism", Addison-Wesley publ., 1958 /6/ K. Hackl, Technische Univ. Graz, 1995, unpublished /7/ J. Diaci, Fakulteta za strojništvo, 1995, unpublished /8/ S. Marco, J. Samitier, O. Ruiz, A. Herms, J.R. Morante: "Analysis of electrostatic-damped piezoresistlve silicon accelerome-ter", Sensors and Actuators, A, 37-38, pp. 317-322, 1993 /9/ J. Dominlcus, I. Jntema, H.A.C. Tilmans: "Static and dynamic aspects of an air-gap capacitor", Sensors and Actuators, A35, 1992, pp. 121-128 /10/ D.E. Bergfried, B. Mattes, R. Rutz: "Electronic Crash Sensors for Restraint Systems", Proc. Int. Cong, on Transportation Electronics, Detroit, 1990, pp. 169-177 /11/ D.B. Herbert: "Simulating Differential Equations with SPICE2", Simulation and Modeling, Editor: Ping Yang, Circuit & Devices, Jan. 1992, pp. 11-14 /12/G. Pelz, J. Bielefeld, F.J. Zappe, G. Zimmer: "Simulating Micro-Electromechanical Systems", Circuits & Devices, March 1995, pp. 10-13 /13/ G. Pelz, J. Bielefeld, G. Zimmer: "Model Transformation for Coupled Electro-mechanical Simulation In an Electronics Simulator" /14/ G. Pelz, J. Bielefeld, F.J. Zappe: "MEXEL- Model-Conversion: Mechanics to Electronics", April 1995 /15/R.M. Gutkowski: "Structures, Fundamental Theory and Behaviour", Van Nostrand Reinhold Co., New York, 1981 doc.dr. Janez Diaci, dipl. ing. Faculty of Mechanical Engineering Aškerčeva 25, 1000 Ljubljana, Slovenia Tel.: +386 61 1771 429, Fax. : +386 61 218 567 e-mail: janez. diaci@fs.uni-lj. si prof. dr. Lojze Trontelj, dipl. ing. dr. Vinko Kunc, dipl. ing. e-mail: vinko@lm.eunet.si dr. Igor Zelinka, dipl. ing. e-mail: zigor@im.eunet.si Faculty of Electrical Engineering Tržaška 25, 1000 Ljubljana, Slovenia Tel.: +386 61 1768 337 Fax. : +386 61 126 46 44 Prispelo (Arrived): 06.02.1997 Sprejeto (Accepted): 25.02.1997 22 UDK621,3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 27(1997)1, Ljubljana INFRARED SPECTROSCOPY AS ANALYSING TOOL FOR MATERIALS USED IN MICROELECTRONICS 1. Semiconductor substrates Marta Klanjšek Gunde National Institute of Chemistry, Ljubljana, Slovenia Keywords: materials in microelectronics, infrared spectroscopy, semiconductor silicon, Ga-As, gallium arsenide, oxygen, carbon, hydrogen, shallow-level impurities, free carriers, surface analysis. Abstract: The application of infrared spectroscopy to analyse the semiconductor substrates used for microelectronic devices has been reviewed. For the quantitative determination of various impurities involved in the bulk materials, absorption spectroscopy is used. Infrared absorption in monocrystal silicon due to oxygen, carbon, hydrogen and shallow-level impurities is examined extensively. The informations about free carriers in doped semiconductors could be obtained from bulk reflectance spectra. Some methods for characterising the semiconductor surfaces are described also. The recently published literature on this field is reviewed. Uporaba infrardeče spektroskopije pri analizi materialov za mikroelektronsko industrijo 1. Polprevodniški substrati Kjučne besede: materiali v mikroeiektroniki, spektroskopija infrardeča, silicij polprevodniški, Ga-As galijev arzenid, kisik, ogljik, vodik, primesi donorske in akceptorske, nosilci naboja prosti, analiza površinska. Povzetek: Članek predstavlja pregled metod infrardeče spektroskopije, ki se najpogosteje uporabljajo za analizo polprevodniških substratov v mikroelektronski industriji. Infrardeča absorpcijska spektroskopija omogoča analizo vsebnosti nečistoč. Podrobneje je opisana infrardeča absorpcija zaradi nečistoč v monokristalnem siliciju, kot so kisik, ogljik, vodik, ter donorske in akceptorske primesi. Spekter odbojnosti dopiranega polprevodnika vsebuje podatke o prostih nosilcih naboja. Opisane so tudi nekatere metode za analizo površin polprevodniških substratov. Tematika je predstavljena v luči novejših publikacij. I. INTRODUCTION Continuos improvements in technology of making microelectronic devices on the surface of a semiconductor requires special and controllable properties of all applied materials on each stage of the production /1/. Infrared (IR) spectroscopy is a powerful tool for the characterisation of these materials. Interaction of IR radiation with matter as a function of frequency provides a relatively direct probe of molecular structure via the excitation of vibrational states in molecules. This is one of the fundamental analytical techniques for obtaining qualitative and quantitative information about a substance. The advantages of Fourier-Transform IR spectroscopy together with the development of highly sensitive, rapid-response and low-noise detectors create a probe that is rapid, noncontact, nondestructive, and highly precise /2,3,4/. This paper will consider the most frequently used applications of IR spectroscopy to analyse the fundamental microelectronic materials with special attention to the recent literature. In the first part, the characterisation of bulk materials is discussed. Mostly monocrystal silicon is considered whereas other compounds (e.g. Group lll-V) are mentioned only briefly. II. ABSORPTION SPECTROSCOPY The most usual way to obtain the absorption spectrum of a sample is to use the logarithm of measured normal incidence transmittance (Figure 1). For IR radiation with energies bellow the band gap semiconductors become transparent. In this region the /o I T /i = ~log(r) Figure 1. Normal incidence transmittance (T) and ab-sorbance (A) measurement. 23 Informacije MIDE M27(1997)1, str. 23-30 M. Klanjsek Gunde: Infrared Spectroscopy as Analysing Tool for Materials Used in Microelectronics absorption spectroscopy play an important role. It enables to detect even low impurity concentrations in the host semiconductor material, if vibrations due to these impurities are IR active. There are two different mechanisms governing absorption of IR radiation due to impurities involved in bulk semiconductor material: (a) the local vibrational modes caused by the distortion of the semiconductor crystal lattice due to electrically active or inactive impurities. The impurity species may be located either on lattice or on interstitial sites, bounded to the neighbouring host lattice atoms, and exhibiting a dipole moment. (b) the electronic bands caused by the excitation of excited states of electrically active impurities exhibiting mostly shallow levels in the forbidden band-gap of the respective semiconductor. The absorption peaks caused by vibration of impurities are not the only feature of the IR spectrum of a real semiconductor sample. The superposition of various kinds of absorptions may more or less seriously complicate detection of impurity vibrations. a) lattice vibration of the host semiconductor is the main additional spectral feature. In homopolar crystals (silicon, germanium) it appears due to nonvanishing second order electric dipole moment that causes a multiphonon IR spectrum. Corresponding absorptions are the same order of magnitude as the local vibrational modes due to impurities. The vibration of polar crystal lattice (e.g. in GaAs) leads to reststrahlen bands in the far IR spectral region, representing the low-frequency boundary of the transparency region in polar semiconductors. b) high charge carrier concentrations. Free carriers absorption increases continuously with increasing wavelength. The high-resistivity wafers (above 2 Qcm) are transparent up to the far-IR spectral region whereas low-resistivity wafers (0.02-0.05 Qcm) reflect almost all radiation in the whole IR spectral region. c) additional absorptions due to surface layers such as oxides, nitrides, unintentional contamination or even fingerprint. d) highly scattering rough surfaces cause a loss in transmittance. The radiation scattered by surface irregularities cannot reach the IR detector. Radiation scattering can be hardly distinguished from real absorption in a spectrum. e) high reflectivity of semiconductor surface reduces the transmittance. This reduction is due to refractive index of applied semiconductor material. In silicon, it is about 50% and is almost independent on the wavelength of the Impinging radiation. These effects are shown in Figure 2, where normal incidence transmittance spectra of three silicon wafers are shown. Spectral features of high-resistivity, high purity (float zone, FZ), double-side polished sample (Figure 2, curve a) are caused by lattice vibration of the silicon crystal lattice. The wavelength-almost-independent reduction of transmittance is caused by high reflectivity of silicon. The origin of this effect is the high value of refractive index of silicon (n=3.42). Throughout the mid IR region of high resistivity silicon, this value varies only on the fourth decimal place, practically only at frequencies of lattice vibrations /5/. The commercial wafers for microelectronic devices are cut from Czochralski (CZ) grown ingots and polished only on one side. Typical distribution of surface irregularities of the rough rear surface causes spectral dependent loss of intensity in the measured direction for the wavelengths in the mid IR spectral region. This additional spectral feature changes the baseline of transmittance (Figure 2, curve b). When the concentration of free carriers increases, the absorption edge due to free carrier or plasma absorption moves to shorter wavelengths. In low-resistivity silicon wafers, the free-carrier absorption dominates thus making detection of absorption due to impurity vibrations hardly or even non possible. At sufficiently high carrier concentration, the wafer is almost opaque throughout all mid IR spectral region (Figure 2, curve c). CD O C (O 4000 3000 2000 wavenumbers, cm-1 1000 Fig. 2. Absolute (air reference) transmittance spectra of silicon samples (room temperature measurements): (a) high resistivity (-16 Qcm), high purity (float-zone, <2x1016 oxygen atoms/cm3), both side polished wafer, thickness 502 \im, (b) high resistivity (-10 Qcm), low purity (Czochralski, 7.4x10 oxygen atoms/cm ), one side polished wafer, thickness 480 ,um, (c) low resistivity (0.01-0.02 Qcm), low purity (antimony doped, carrier concentration -2x10^6 /cm3), both side polished wafer, thickness 420 ¡im. There are some ways to avoid superposition of the above mentioned unwanted absorptions. Most simple and widely used are selection and preparation of suitable sample as well as application of known reference specimen to detect only the differences between the investigated sample and the reference. 24 M. Klanjsek Gunde: Infrared Spectroscopy as Analysing Tool for Materials Used in Microelectronics Informacije MIDE M27(1997)1, str. 23-30 To obtain information about the inherent impurity in a sample, the respective absorption bands have to be known. If quantitative results are wanted, the calibration factor of this absorption is necessary. Another possibility is to measure corresponding quantity of same semiconductor samples with known concentration of the same type of impurity species (calibration samples). To determine the calibration factor, detailed investigations have been performed on the commercially important semiconductor silicon, GaAs and GaP. One sort of impurity species has various possible modes of vibration, what gives rise to various local mode absorption bands. The spectral positions of these bands shift with the sample temperature, with the respective isotopes involved, possibly even due to some entirely optical effect. Getting the most of these multitude of data offers the possibility to detect also some details about impurity species considered. The electronic absorptions also exhibit a variety of bands due to the transitions to various excited states. The corresponding absorption coefficient and the respective full-width at half-maximum depend on the sample temperature. Detection of electronic bands requires cryogenic sample temperatures. The main application of IR absorption spectroscopy in the semiconductor industry is the quantitative determination of the impurity concentrations in single crystal semiconductor material. For such analysis, the intensity of the IR absorption bands produced by the selected impurity is used as a measure of its concentration. In contrast to much more expensive techniques such as charged particle activation analysis, SIMS or the vacuum fusion method, IR spectroscopy is quite simple and fast to apply for this purpose. The former techniques were used only to calibrate the IR absorption for quantitative analysis. The net concentration of dopants can be determined from the electrical resistivity measured at room temperature, whereas the chemical nature of impurities can be analysed by IR absorption spectroscopy. They may be classified as element impurities, pairs, complexes, and precipitates. Some of them are electrically neutral, the other are electrically active. For detection of electrically neutral impurity species, IR spectroscopy is one of the few techniques available. The presence of electrically active impurities can be detected also by means other than IR spectroscopy. These impurities generally determine the free carrier concentration and thus can be detected by electrical measurements. This technique is not very species selective, however. Different impurities give very similar results in the electrical properties, particularly in the Group lll-V compounds. By IR absorption spectroscopy, on the other hand, the specific species of impurity present in the semiconductor can be identified. 11.1. IR absorption in silicon The Czochralski grown silicon is the most widely used semiconductor grade material for production of microelectronic devices. The major impurities present in it are oxygen and carbon. They are introduced during the growth process with maximum solubility at melting point 2x1018 atoms/cm3 and 5x1017 atoms/cm3, respectively. Both impurities are electrically inactive, but can seriously affect the carrier recombination process. The oxygen atoms occupy interstitial sites in the lattice and give rise to Si-O-Si bonds. Other oxygen species such as complexes and precipitates (SiOx, 0 (H0H,UV+Ti02) CO2+H2O The CO2 which is produced during the oxidation process disolves into the water and forms carbonic acid which dissociates into conductive ionic species: H20 + C02 H+ + HCO3" 2H+ + C03= The change in conductivity due to the dissolution of CO2 into the water is directly proportional to the concentration of TOC originally present in the sample. Analysis Cell Quartz plaie Thermistor temperature ■ 0-100 C Sample Valve UV Lamp 185/254 nrrt optimized rode Water Water out in inner electrode Ti02 Figure 1: Schematic of the A-1000 Analysis Cell H H H £>• O- O« i.....U i.„L Ti O 2 á H • K O- l O* .L_L1 Tí Figure 2: Photocatalytic production of hydroxyl radicals Conree tor Clock ? Sžflf S Cunt>ut«r i Dala Aiceu.sision i anrl i Cantro Sy">lem r j ¡ A 1)1« ih, rs Figure 3: Schematic of the A-1000 network system with cable, connectors, connector block and multiple sensors 38 Informacije MIDEM 27(1997)1, Ljubljana 3.3 A-1000 Instrument Configuration The minimum Anatel A-1000 TOC Analysis System combines a Controller and a Sensor unit. Multiple Controllers and Sensors may be linked together via a proprietary local area network (A-Net) to furnish a wide variety of potential system configurations. Possible applications include differential TOC measurements between two sensors. C80 CONTROLLER The C80 Controller serves as a control/display device for the A-1000 TOC measurement system. A 4-line by 16-character display presents information. Function and edit keys provide the ability to display and modify the various parameters which control the Sensor's operation. S10 SENSOR The S10 Sensor is the basic A-1000 analysis device. A 1 -line by 16-character display reports current TOC values in ppb. Operation parameters fo the S10 are established through a C80 Controller. S20SENSOR The S20 combines the analytical and interface capabilities of the S10 Sensor with a C80 Controller. This union allows the control and reporting of the Sensor to be integrated into a single enclosure. The S20P Sensor's incorporation of an integral printer furnishes total instrument portability with point-of-use reporting capabilities. 3.4 A-1000 Operation Modes The Anatel A-1000 TOC Sensors operate in one of five modes: 1. AUTO TOC Auto TOC is the analysis mode for monitoring ultrapure water systems. The Sensor automatically performs successive measurements, reporting the TOC level, conductivity and temperature of the water stream at the end of each analysis cycle. 2. PURGE MODE The Purge Mode opens the Sensor's internal solenoid valve, allowing water to flow through and flush the measurement cell. Conductivity and temperature readings are continually updated and printed either at user-selected time intervals or based on a change precentage as dictated by the instrument's operational parameters. The Purge Mode is used to check the sample water flow rate through the Sensor. 3. DIFFERENTIAL MODE The Differential Mode is a comparison of two Sensors' Auto TOC or Purge Mode readings. A primary and a reference Sensor report their respective and differential measurements at the end od each analysis cycle. 4. MANUAL MODE The Manual Mode allows the user to interrupt automatic operation and manually initiate an analysis cycle. 5. SELF-CLEAN MODE In the Self-Clean Mode, the Sensor's solenoid valve is opened to allow water to flow through its measurement cell. The UV lamp is turned on to oxidize any organic contaminants,which are subsequenty flushed from the cell by the water flow. Conductivity and temperature are reported as described in the Purge Mode. 3.5 A-1000 Auto TOC Mode Analysis Times And States In the Auto TOC Mode, the A-1000's TOC analysis process is comprised of three stages: Sample Time, Oxidation State and Idle State-collectively referred to as the Cycle Time. | Cycle Time (User Selectable)__ Sample Time Oxidation State Idle State (User Selectable) (If Present) • Cycle Time • User Selected Times (minutes) 8 Comprised of 3 States or Times • Sample Time • Oxidation State • Idle State • Analyzer Rate • Normal »Fast Figure 4: A-1000 analysis cycle time line 1. SAMPLE TIME During the user selectable Sample Time, the UV lamp is turned off and the Sensor's internal solenoid valve is opened to allow pressurized water from the process stream to purge the connecting tubing, valves and measurement cell. The Sample Time interval must be sufficient in duration to furnish a fresh and representative water sample for each measurement cycle. The required duration of the Sample Time depends on: • -the length and internal diameter of the sampling system's transfer tubing from the process pipe to the analyzer. • -the water flow rate. • -the difference between the ambient temperature and the temperature of the process water. Typically, a Sample Time of two minutes is adequate at a flow rate od 100 ml/minute. The flow of water should be observed from the Sensor's WATER OUT port during the Sample Time interval. 2. OXIDATION STATE During the first ten seconds of the Oxidation State, the conductivity and the temperature of the sample water are measured to establish reference values which are stored in the A-1000's memory for use in calculating the TOC results. The internal solenoid valve is then closed 39 Informacije MIDEM 27(1997)1, Ljubljana to capture afresh, discrete, representative water sample in the measurement cell. The UV lamp is turned on and oxidation of any organics within the sample occurs. The Oxidation State interval varies depending on the type and concentration of the organic constituents in the water and is characterized by Profile Types P1, P2 and P3. The sample's final equivalent TOC content is calculated and based on the conductivity and temperature at the completion of the Oxidation State. The sample's TOC in ppb, initial conductivity (¡iS/cm) or resistivity (Mohm-cm) corrected to 25 °C, and temperature (°C), are sent to the A-1000's display, analog and serial output ports. 3. IDLE STATE AND VALVE AT IDLE If the TOC analysis is completed before the set Cycle Time has elapsed, the Sensor goes into an Idle State in wich the UV lamp is turned off awaiting the start of the next analysis. During this Idle State, the internal solenoid valve is either "Open" or "Closed" as determined by the A-1000's Valve @ Idle setting. Open - the Sensor's measurement cell is continuously purged with sample water until the conclusion of the Cycle Time. Closed - the internal solenoid valve prevents water flow until the conclusion of the Cycle Time and initiation of the next Sample Time interval. The next analysis cycle begins immediately if the duration of the Cycle Time is less then the time required for complete sample analysis. 4. ANALYZER RATE The A-1000's Analyze Rate determines the speed at which oxidation of the water sample occurs. "Normal" is the standard analysis rate. "Fast" performs the analysis up to three times quicker. The Fast rate is recommended only for applications where rapid results are crucial since prolonged operation on this setting reduces the life of the Sensor's UV lamp. 3.6. Oxidize state profile types During the Oxidize State of the Auto TOC analysis cycle, the Sensor continuously monitors the changing conductivity and temperature of the water sample trapped in the measurement cell until oxidation of the organics is complete. The relationship between conductivity and time is called the oxidation curve. One of three oxidation curve Profile Types is reported by the A-1000: "P1," "P2" or "P3." 1. PROFILE TYPE 1 (P1) - Easy to Oxidize Organics In a P1 sample, conductivity is always increasing until oxidation is complete. This profile indicates that only simple low molecular weight organics are present in the sample water. 2. PROFILE TYPE 2(P2) - Moderately Difficult to Oxidize Organics The P2 sample occurs only at TOC levels below 25 ppb and is very similar to a P1 profile in which the contribution of the organic "background" of the cell must be adjusted. 3. PROFILE TYPE 3 (P3) - Difficult to Oxidize Organics A P3 sample contains organic compounds which form significant amounts of intermediate organic acids which have a higher conductivity than the equivalent CO2 that is finally formed. This produces an initial high level of conductivity which then decreases as the oxidation process proceeds to completion. A change in Profile Type is an important information and usually indicates that something has happened within the water system. An abrupt change usually indicates a change in the organic content of the water. For example, a change from a P1 to a P3 Profile Type would result from the introduction of more complex organic compounds. 3.7 Alarms The user may set an alarm limit for the TOC level that is detected by the Sensor. Any TOC limit excursions are indicated on the C80 Controller by the flashing of the entire LCD display as well as the affected Sensor's channel LED. When enabled, an audible beeper also sounds and, if connected, a hardcopy printout of the Profile Type 1 (P1) - Easy to Oxidize Organics Conductivity (uS/cm) Time (minutes) Profile Type 2 (P2) Conductivity (uS/cm) Time (minutes) Profile Type 3 (P3) - Difficult to Oxidize Organics Conductivity (uS/cm) Time (minutes) Figure 5: Oxidize state profile types 40 Informacije MIDEM 27(1997)1, Ljubljana excursion is generated automatically. The Sensor's digital OUTPUT #1 port may be used to transmit the alarm to a compatible device. Alarms are acknowledged by pressing the (alarm) key on the C80 controller. 3.8 Errors The C80 Controller is also used to display any Sensor malfunctions, reported as numeric Error Codes, indicating an electromechanical or analytical failure. The Sensor's channel LED and the Controller's display flash to alert the user of the problem and the error is acknowledged by pressing the (alarm) Key. Each Sensor maintains an error log of as many as 30 separate entries consisting of the Error Code number, the total number of occurrences of that error, and a time stamp of the initial occurrence. 3.9 Analyzer outputs The A-1000 Sensor communicates to external devices through an RS-232C interface, 4-20 mA analog signals and digital output ports. 1. SERIAL OUTPUTS An RS-232C port is provided to drive a printer or other serial communications device. 2. ANALOG OUTPUTS Two types of ports are provided for signals to analog devices. The primary port provides a 4-20 mA signal which represents TOC. The secondary port is through the Sensor's DIAGNOSTIC port to allow the use of External DAC (Digital-to-Analog Conversion) modules to trasmit 4-20 mA signals representing temperature and resistivity values. The minimum and maximum levels corresponding to the 4 and 20 mA signals may be defined by the user for TOC, temperature and resistivity. The user also may select one of three default output states for the analog signals should a fatal error be encountered by the Sensor. 3. DIGITAL OUTPUTS The Alarm Status and the state of the internal solenoid valve are available on the Sensor's two digital output ports. 3.10 ANATEL - 1000 system specifications 0.00- 19.99 ppb Display Resolution 20.00- 199.9 ppb 200 + ppb 5 Mohmcm for all water Minimum input water resistivity 1 Mohmcm for neutral waters 0.2 Mohmcm for water with CO2 as conductive species Input water temperature 0-100°C Temperature measurement YES Input water pressure 15-100 PSIG max Operating temperature b 40 C i RESISTIVITY Operating range 0.01 - 18.2 Mohmcm 0.05 - 100 (.tS/cm Temperature compensation to 25°C over entire 0 - 100°C temperature range or temperature uncompensated resistivity : Readout resolution 3 significant figures as resistivity ' 4 significant figures as conductivity Precision ±3% | INPUT/OUTPUT water IN/OUT ! AC plug Network RS-485 : 8 S10 sensors can be connected to C80 CONFIGURATION Printer RS-232C j Data RS-232C to computer!! Digital output (2) and input (2) Calibration: Against reference instrument j According to USP 23 Validation: IQ/OQ Guidelines and SOP available Dimensions: H325mm x W172mm x D112mm Weight 5.4 kg C80 Controller S10 Sensor MODEL S20: Controller + Sensor i (portable) I S20P: Controller + Sensor + Printer (portable) SPECIFICATIONS A1000 TOC Operating range 0.05 - 9999 ppb Repeatability better than ±0.05 ppb < 5 ppb better than ± 1 % > 5 ppb Accuracy ±1% 41 Informacije MIDEM 27(1997)1, Ljubljana 4.0 The Anatel Model A-2000 for Wide Range TOC Analysis The A-2000 is designed specifically to address higher range TOC analysis of feedwaters to high purity systems, clean-in-place applications in the pharmaceutical industry, reclaim and reuse water in semiconductor manufacturing, drinking water, power generation systems, chemical processing, and effluent streams. The A-2000 TOC Analyzer offers four user-selectabie analysis modes: • TC - Total Carbon • TIC - Total Inorganic Carbon • TOC Direct and • TOC Indirect TOC measurements are made directly by sparging to remove any TIC present or indirectly by analyzing for both TC and TIC. The difference between these two measurements is the TOC value. 4.1 A-2000 Components A-2000 system measures TOC by oxidizing the organic carbon to CO2 with persulfate in the presence of ultraviolet light. The CO2 produced is measured directly by a nondispersive infrared detector (NDIR). This method measures both the purgeable and non purgeable organic carbon that are present. The main A-2000 components are: High Efficiency Photoreactor The high efficiency photoreactor consists of a hollow quartz tube, more than 1 meter length, wrapped into a helix just 2" long, encircling a 254 nm UV lamp.The tube, measuring just 3 mm in outside diameter and 2 mm in internal diameter ensures that the sample is always strongly exposed to penetrating UV energy for maximize oxidation performance. The long, small diameter quartz tube ensures fast, complete, UV promoted persulfate oxidation in just minutes. Figure 6: A-2000 system 42 Informacije MIDEM 27(1997)1, Ljubljana Liquid/gas separator The membrane in the liquid/gas separator chamber is actually round, silicone tubing, more than half a meter long, wrapped into a compact spiral measuring less than 2 inches in length. The water/reagent mixture permits only CO2 to pass through the silicone wall as it flows through the tubing. This design maximizes the membrane surface area exposed to the sample mixture, so that the diffusion of CO2 across the membrane is fast, efficient, and complete. NDIR Detector The NDIR detector consists of a single chamber (optical path) with an infrared light source at one end, and a filtered photodetector at the other end. The chamber is first filled with N2 carrier gas to establish a baseline reading. Then the chamber is emptied, and filled with N2 carrier gas mixed with the CO2 sample. CO2 In the optical path absorbs an amount of infra-red energy, at a specific wavelength, proportional to the amount of CO2 present. Because the energy is absorbed, it never reaches the filtered photodetector, which outputs a signal proportional to the amount of CO2 gas in the chamber. In this way, TOC is measured very accurately and precisely as the concentration of CO2 produced by the sample oxidation. Sample/Sparge Chamber The sample/sparge chamber is actually a cylinder about 3" long and 1" in diameter, constructed of electro-polished stainless steel. The cylinder contains the acidified sample while N2 carrier gas bubbles through it. This bubbling action, known as " sparging ", agitates the liquid sample, and frees the Inorganic carbon (e.g. H2CO3, CO3"2, HCO3-) from solution so it can escape into the atmosphere leaving a TIC free sample. The sample/sparge chamber is only used during the TOC fast operating mode. Multi-Port Switching Valve The electronically actuated multi-port switcing valve is expected to provide reliable performance with continuous use for many years. The computer rotates the 8-port valve to control the flow of sample, acid, persulfate and calibration solution to the syringe pump, drain and other A-2000 components. Use ofamulti port switching valve in combination with a syringe pump for mixing is part of the A-2000 sequential injection analysis (SIA) design. Syringe pump All initial mixing of liquid sample components takes place in the rugged digitally controlled syringe pump. Acid, persulfate and sample are precisely mixed according to modified, proven, sequential-injection-analysis (SIA) principles in this sturdy, long-lasting, computer controlled, glass syringe pump. Reagents All reagents used for operating the A-2000 wide range TOC analyzer can be easily purchased from any chemical supply house or can be ordered directly from Anatel for maximum convenience. 0.3 Molar phosphoric acid (H3PO4) is used to lower the sample pH and allow CO2 and other dissolved purgeables to migrate Sampie/Spafge Chamber {pB<2) Samps® NDIR Detectof Figure 9: Operating schematic for measurement of TOC fast 43 Informacije MIDEM 27(1997)1, Ljubljana Sampfe/Sparg« Chamber (pM<2) Umpte NOW Detector Calibration Syfing« Aci 8) is used, in conjunction with 254 nm UV energy, to oxidize the sample to CO2 suitable for measurement by the NDIR detector. Anatel also provides 500 ppb sucrose (C6H12O6) solution designed for pharmaceutical calibration of the instrument. Sucrose NF is the proposed calibration compound recently recommended to the United Stets Pharmacopeia (USP) for performing calibrations on TOC analyzers. Carrier Gas A-2000 carrier gas must be at least 99.98% pure nitrogen (N2), pressurized to 4 bar, and capable of flowing at 250 ml/min. The carrier gas is used to sweep CO2 and inorganic carbon (e.g. H2CO3, CO3"2, HCO3") to the NDIR cell for measurement. Additionally, carrier gas alone is used as a background measurement of NDIR performance. 4.2 Simplified A-2000 Operating Schematics 4.2.1 Total Carbon - TC The A-2000 measures TC by oxidizing all of the oxi-dizable materials in the raw sample water, and measuring the amount of CO2 prodiced by the oxidation. 1. Acid and sodium peroxidisulfate are added to the sample in the syringe pump. The persulfate oxidizes the sample while the acid allows CO2 gas to escape from the acidified sample by lowering the sample pH. 2. The entire sample is transported directly to the high efficiency photoreactor, without sparging, and the sample molecules are oxidized to CO2 and byproducts according to the following equation: CxOxHx + Na2S20s hv — CO2 + H2O + Na2S04 + H2SO4 254 nm UV energy accelerates and promotes the persulfate oxidation. 3. The resulting CO2 is separeted from the byproducts by diffusion through a selectively permeable membrane in the liquid/gas separator. 4. The CO2 is transported to the NDIR detector within the N2 carrier gas stream. The NDIR detector outputs a signal proportional to the concentration of CO2 in the carrier gas stream. 4.2.2 Total Inorganic Carbon - TIC To measure TIC, the A-2000 must separate the inorganic carbon (e.g. H2CO3, CO3"2, HCO3") from the sample solution, so that it can be measured. 1. The sample is adjusted to pH<2 by adding phosphoric acid in the syringe pump which allows the TIC to dissociate as CO2. 2. The CO2 is separated from the byproducts by diffusion through a selectively permeable membrane in the liquid/gas separator. The lamp stays off throughout the analysis to prevent any oxidation by the UV energy alone. 3. The CO2 is transported to the NDIR detector within the N2 carrier gas stream. The NDIR detector outputs a signal proportional to the concentration of CO2 in the carrier gas stream. (pik 2; ifl jiii(■ !: N> Step 1 = TIC measurement (Lamp OFF) -I Step 2 = TC measurement (Lamp ON) Step 1 and Steo 2 Figure 9: Operating schematic for measurement of TOC fast 45 Informacije MIDEM 27(1997)1, Ljubljana 4.2.3 Total Organic Carbon - TOC Fast To measure TOC directly the A-2000 must first transport the sample to the sample/sparge chamber where the TIC (e.g. H2CO3, CO3 , HCO3") is removed from the TOC by sparging. 1. The sample is adjusted to pH<2 by adding phosphoric acid in the syringe pump. 2. The sparging process allows purified nitrogen gas to bubble through the sample mixture in the sample/sparge chamber. This bubbling action frees the inorganic carbon from solution so it can escape into atmosphere, leaving a TIC free sample. 3. The remaining TOC, still in liquid phase, is combined with sodium peroxidisulfate in the syringe pump and transported from the sample/sparge chamber to the high efficiency photoreactor where the molecules are oxidized to CO2 gas by the addition of sodium persul-fate and 254 nm UV energy: CxOxHx + Na2S20s -> hv CO2 + H2O + Na2S04 + H2SO4 3. The CO2 is separeted from the byproducts by diffusion through a selectively permeable membrane in the liquid/gas separator. 4, The CO2 is transported to the NDIR detector within the N2 carrier gas stream. The NDIR detector outputs a signal proportional to the concentration of CO2 in the carrier gas stream. 4.2.4 Total Organic Carbon Determined Indirectly-TOCI The removal of TIC when measuring TOC directly, can also result in the loss of low molecular weight TOC, or "purgeable organic carbon" (POC). When POC composes a significant portion of TOC, such as in the drinking water industry, it may be advantageous to measure TOC indirectly as the difference: TOCi = TC -TIC. By mesuring TOC indirectly, POC is included and measured as TOC. TOCi is determined by separately measuring TC and TIC and calculating the mathematical difference. Determination of TIC (UV lamp off): 1. An aliquot of the sample is adjusted to pH<2 by adding phosphoric acid which allows the TIC to dissociate from the sample as CO2. 2. The sparging process allows purified nitrogen gas to bubble through the sample mixture in the sample/sparge chamber. This bubbling action frees the inorganic carbon from solution so it can escape into atmosphere, leaving a TIC free sample. 3. The CO2 is separeted from the byproducts by diffusion through a selectively permeable membrane in the liquid/gas separator. 4. The CO2 is transported to the NDIR detector within the N2 carrier gas stream. The NDIR detector outputs a signal proportional to the concentration of CO2 in the carrier gas stream. Determination ofTC (UVIamp on): 1. Acid and sodium peroxidisulfate are added to a second aliquot of the sample in the syringe pump. 2. The second aliquote is transported directly to the high efficiency photoreactor without sparging, and the sample molecules are oxidized to CO2 gas and byproducts according to the following equation: CxOxHx + Na2S2OB hv -> CO2 + H20 + Na2S04 + H2SO4 254 nm UV energy serves as a catalyst and promotes the persulfate oxidation. 3. The CO2 is separeted from the byproducts by diffusion through a selectively permeable membrane in the liquid/gas separator. 4. The CO2 is transported to the NDIR detector within the N2 carrier gas stream. The NDIR detector outputs a signal proportional to the concentration of CO2 in the carrier gas stream. 4.3 A-2000 performance specifications Measured parameter: TC, TIC TOC fast and TOC = TC - TIC Measuring range: TOC: 3 to 5000 ppb, 0.0 to 100.0 ppm, 0 to 2000 ppm TIC: 3 to 5000 ppb, 0.0 to 100.0 ppm, 0 to 2000 ppm Precision: TC/TOC/TIC ±2% in each range Analysis time: TIC: 1.5 min, TC: 3 min, TOC: 4 min Sample introduction: On-line Manual sipper tube Vial autosampler 46 Informacije MIDEM 27(1997)1, Ljubljana Communications: Network as many as 8 instruments Serial communication Four independent 0(4)-20 mA outputs Two user selectable alarm levels Relay outputs for alerts and alarms User interface: Full 8" backlit color LCD Convenient hard/soft key interaction Trend charts On-line help Output devices: Built-in internal printer Built-in floppy drive Optional external graphics printer Calibration: User selectable automatic or manual calibration Calibration from manual or autosampler vials Calibration from internal standard source Up to five calibration curves stored Automatic calibration reports i Validation: Built in validation functions User selectable acceptance criteria Automatic validation reports j Gas requirements: Nitrogen, 99.98% purity and better, 4 bar, 250 ml/min Reagents: Premeasured (or user prepared) 0.3M phosphoric acid and 1,6M sodium I peroxidisulfate Easy reagent replacement Calibration standard - sucrose NH Reagent lifetime: 3 weeks Dimensions: H457mm x W635mm x D254mm Weight 32 kg 90 to 240 VAC ± 10%, 50/60Hz, 650VA Optional autosampler: H457mm x W286mm x D267mm Weight 10 kg 27 vials (40 ml EPA type) 90/120 VAC, 220/240VAC, 50/60Hz, 200VAmax ; 5.0 LITERATURE /1/ K.G. Malle, "Cleaning Up the River Rhine", Scientific American, January 1996 /2/ What You Should Know Before Buying ATotal Organic Carbon (TOC) Analyzer For A High-Purity Water System, ANATEL Corp. 1995 /3/ F. Blades, C. Frith, "New Analytical Technique for On-Llne Detection of Trace Organics In Ultrapure Water", Seventh International Symposium of Contamination Control, Paris (18. September 1984) /4/ M. Retzlk, P. Melanson, "The Design, Performance, And Validation Of An On-Stream Total Organic Carbon Analysis System For Monitoring Ultra Pure Water", International Conference, Instrument Society of America (September 1993) For more information on ANATEL TOC measurement systems, please, call: Mr. Iztok Sorli MIKROIKS d.o.o. Dunajska 5, 1000 Ljubljana, Slovenia tel. + 386 (0)61 312 898 fax. +386 (0)61 319 170 47 Informacije MIDEM 27(1997)1, Ljubljana PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE ITIWHFE! Planina 3 81*4000 Kranj SLOVENIJA SLOWENIEN SLOVENIA 0.0.0. tel: ++386 (0) 64 325-992 fan: ++386 (0| 64 331-545 L P K F GROUP OF COMPANIES LPKF is a multinational group of companies highly specialised in the field of PCB's - No. 1 in prototyping. The parent company LPKF CAD/CAM Systeme GmbH is located in Garbsen/Germany. The impressive growth of the group is especially attributable both to outstanding success of the new PROTOMAT milling / drilling plotter range with integrated solder paste dispenser / trough-plating system and the laser systems for cutting SMD Metal stencils and micromachining in electronics. In 1994 formed joint-venture company LPKF d.o.o. in Slovenia produces PROTOMAT circuit board plotters and develops / produces whole range of ZEL assem- bling devices for SMT. As in the case of circuit board plotters, these are also devices for prototyping and small series production. For the LPKF this represents a step from systems purely for PCB production to complete SMD circuit assembly. Besides above mentioned programs the other partner companies manufacture among others the laser stencils, fast drive systems, precise measuring systems and excimer laser systems. 48 Informacije MIDEM 27(1997)1, Ljubljana A World First The new family of PCB plotters, the LPKF Protomat Fast flexible PCB prototyping - now with integrated feedthrough With the Protomat series of PCB plotters, presented to the public for the first time at Electrónica '94, LPKF CAD/CAM Systeme GmbH, Garbsen/Hanover, is offering a number of new applications. Superfine milling allows for isolation milling of 100¡j, which means 5 track lines through the 1/10" IC grid. Another special tool, ground cylindrically, ensures that HF circuits have absolutely precise track sides with low edge roughness. The main event is however the integrated feedthrough facility. A dispenser ensures the measured injection of a special conductor paste and, in conjunction with a patented vacuum technology, the drilled hole is blown empty for component assembly. The dispenser can of course also be used for SMD solder paste, adhesives etc. A similar form of feedthrough can be achieved for series production with the screen printing machine also offered, the Zelprint. Protomat PCB plotters start with a movement range of 200 x 340 mm, which is adequate for long PC plug-in cards. The top model offers a movement range of 375 x 420 mm and a mill/drill spindle adjustable up to 60,000 rpm. The basic CircuitCam software, which runs under Windows, is the interface for any CAD system with Gerber output. The Boardmaster program offers professional job controlling; the mill/drill process is checked and controlled on the screen. Since 1976, some 4,000 PCB plotters have come into use according to LPKF, which is proud to have introduced a new PCB technology to electronic laboratories and small-series production. Demand is higher than ever before. The reason for this is thought to be the drive towards the rapid new development of electronic circuits. Everywhere there is pressure to reduce time to market, and batch sizes in hardware production are tending to be smaller. Another plus is that the purely mechanical process is environmentally friendly. This trend is even more evident with the new paste feedthrough process. 49 Informacije MIDEM 27(1997)1, Ljubljana New Auto Tool Change Circuit Board Plotter 95S (see front page) LPKF are pleased to announce their new top of the range circuit board plotter the LPKF ProtoMat 95S. With its high precision and the newly developed 30-po-sition automatic tool change system this machine is ideal for complex board with fine isolation gaps of 100 jam and drill holes of 0.3 mm. The machine will process not only standard FR3 and FR4 material but also RF materials such as Teflon and Duroid using LPKF's specially developed tools. The 30-position automatic toll change system will now also change tools if their life has expired. Tool life is recorded in the user-friendly software driver Board Master. Circuit Board Plotter with Through-plating With the new ProtoMat series LPKF presents another milestone for the mechanical prototype production of circuit boards. Beside engraving and drilling of boards with fine-line technology it is now possible to directly perform the through-plating of double-sided boards by means of a dispenser. A solderable conductive paste has been developed especially for this application in order to metallise not only vias but also component holes. The minimum hole diameter is 0.6 mm. Two conductor paths can be passed through the IC grid without any problems. The integrated through-plating thus makes in-house prototyping still faster and more flexible. The aim is to have a CircuitBoardPlotter installed next to each CAD workstation in order to extend the CAD-CAM facility more effectively. Informacije MIDEM 27(1997)1, Ljubljana LPKF produce new milling tools In order to meet the increasing demands put on the circuit board technology LPKF, together with a renowned tool manufacturer, have developed some new special tools. The LPKF micro-cutter is now available for extremely small isolation gaps. In enables isolation widths of only 0.1 mm. For practical purposes this means that up to 5 conductor paths can pass between 2 IC solder pads. Thus, superfine circuits can be produced in prototyping which with etching technology are mastered only by very special companies. In the HF-technology the demand is that copper edges be perpendicular to the base material. A cylindrically ground tool has been developed to meet this requirement. It produces milling channels with a width of 0.25 mm. Another advantage isthefactthatthe base material is only slightly touched. The dielectric remains practically intact. For common applications the proven universal cutter is used. Isolation channels of 2.0 mm up to 3.0 mm can be produced. This tool has the advantage of a clean and burr-free cat as well as a long service life. One tool can be used to produce about 4 double-sided Euro-cards made of FR4 material. The use of FR3 material enables substantially longer service lives. fíñf SMD Metal Stencils Precision using laser technology Superior laser technology guarantees the production of precision stencils and a drastic reduction of print waste. The stencils shown in the figure (sectional view) were each time produced at a 300 pm grid. Reduction of print-waste: 6300 dpni ends to 150 dpm with laser technology. LPKF StencilLaseis ossure high precision edges. They are fast and flexible, using Gerber dota (without film) and are environmentally friendly withouth chemicals. The high capacity laser quarantees a very good price/ performance ratio. As leading manufacturer in Europe LPKF-Elaser has the experience of many thousands of laser-manufactured stencils. Almost 30 LPKF StencilLasers have been installed world-wide. PMV Stencil-Service is using the LPKF Stencils-Lasers and offers a fast and reliable delivery of high quality laser-stencils. You wan! to know more?_ Ask for quotations and information. Free samples. Copy this ad and send a fax or call to: fax: + 386 64 33 15 45 phone: + 386 64 33 15 15 ISDN - data connection: + 386 64 350 422_ PMV d.o.o. • Planina 3 • SI - 4000 KRANJ [ll^D^F . SMDGreenline The fine conductor, as for example the SMT grid prototypes an small series must be equipped professionally, economically and environment friendly, even if assembled in laboratories or small workshops. For this purpose, LPKF offers a complete equipment line for assembling - the LPKF SMD Greenline: •Multi-purpose ZELDISP dispensers for vacuum - placing, dispensing and throughplating ._" "'' "" " -Adjustable ZELFLEX SMD clamping frames (also 4 sided Pick & place machine BEM02 c|ampe(j) • Manual and semi-automatic ZELPRINT printing machines • Expandable manual ZELPLACE assembling system for laboratories and small series • Microprocessor controled ZELFL0W ovens for reflow solderig and hardening of adhesives and conductive pastes • Expandable ZELOPT optical inspection system Would you like to know more? Individual leaflets and special brochures are available on request. Copy this ad, circle desired items and send a fax to: + + 386 64 33 15 45 (phone: ++ 386 64 33 15 15) 1ÜMW d.o.o. • Planina 3 - SI - 4000 KRANJ • Slovenia 51 Informacije MIDEM 27(1997)1, Ljubljana MIDEM IN NJEGOVI ČLANI, NOVICE IZ DRUGIH SREDIN MIDEM SOCIETY AND ITS MEMBERS, NEWS FORM OTHER INSTITUTIONS Zlati znak Jožefa Štefana Dr. Marko Topič je prejel Zlati znak Jožefa Štefana številka 12 za uspešnost in odmevnost doktorskega dela "Analiza heterospojnih amorf-nosilicijevih struktur" na predlog prof. dr. Franca Smoleta. Doktorat je uspešno zagovarjal 2. julija 1996 na Fakulteti za elektrotehniko Univerze v Ljubljani. Doktorsko delo dr. Marka Topiča "Analiza heterospojnih amorfno-silicijevih struktur" je s področja tankoplastnih polprevodniških tehnologij. Obravnava problematiko amorfnega silicija, ki ima kot novejši polprevodniški material pomembno mesto v številnih aplikacijah na področju tankoplastnih tehnologij. Topičevi originalni znanstveni rezultati imajo tudi velik praktičen pomen. Topič je s svojimi rezultati izpopolnil računalniški program ASPIN, ki je postal nepogrešljiv pripomoček pri načrtovanju in optimizaciji amorfnosilicijevih in drugih tankoplastnih struktur. Uporabnost Topičevih raziskav sega tudi na področje mikroelek-tronike. Topičevo aplikativno delo je v sodelovanju z raz- vojnim centrom Siemens AG v Miinchnu privedlo do patenta "Trobarvni amorfnosilicijev senzor". Topičevo delo je vzbudilo številne odmeve v svetu. Poleg razvojnega centra Siemens sodeluje še z vrsto razvojno-raz-iskovalnih laboratorijev v svetu. Izvirnost in kvaliteto Topičevih rezultatov potrjujejo tudi odlične ocene tujih recenzentov za članke, poslane v objavo v mednarodnih revijah. Takoje Topič v manj kot štirih letih objavil osem člankov v mednarodnih revijah s faktorjem vpliva po SCI, od tega štiri kot vodilni avtor. Poleg tega njegova bibliografija vsebuje še prek trideset objavljenih del v drugih znanstvenih in strokovnih revijah ter na konferencah. Prejel je tudi nagrado za svoj prispevek na nedavni mednarodni konferenci v Miyazakiju na Japonskem. Odmevi se kažejo tudi v precejšnjem številu citatov tujih avtorjev. Za posebno uspešno in odmevno doktorsko delo je letos dobil ZLATI ZNAK JOŽEFA ŠTEFANA član našega društva dr. Marko Topič. Veseli in ponosni smo tudi njegovi društveni kolegi. Čestitamo in še veliko uspehov. Predsednica društva MIDEM dr. Marija Kosec CARINTHIAN TECH RESEARCH INSTITUTE The Idea Only with intensive use of new technologies and efficient access to the results of research and development in many felds will economies be able to ensure their vitality and competitiveuess in the upcoming 2lst century. The Carinthian Tech Research Institute is a new Austrian research centre in the province of Carinthia which focuses on industry-oriented research offering the potential for improving competitiveness, flexibility and autonomy. Our key to success is the young, dedicated and experienced development team with a highly professional background which is being set up. In collaboration with our customers we develop competitive solutions and products which will stand any comparison in an international context. Our careful project management and team development strategies enable us to pursue our contracts with high efficiency and guarantee timely completion of the projects. The Goals The aim of CTR is to develop intelligent sensor systems integrating innovative communication technologies. The central goals of our research and development activities are the following: • sensor systems with intelligent functions • inter-linked communicating sensor systems • new areas for high-volume sensors • development of sensors and sensor applications « simulation and verification of complex control systems Applications are envisaged in a multitude of fields from industrial automation, automation of buildings and households, automotive engineering and traffic control, to environmental and energy engineering. The tasks are to be solved in close collaboration with other research institutes and universities/colleges in the Alps-Adriatic region. The organisation CTR was founded in January 1997 as an initiative of the 'Industriellenvereinigung Karnten' (Carinthian Association of Manufacturers), the Federal Ministry of Science, Traffic and Arts, the Province of Carinthia and the Municipality of Villach. It is located in Villach, right in the centre of an up-and-coming economic region with leading companies in the electronics and microelectronics industry. For more information, please contact CARINTHIAN TECH RESEARCH INSTITUTE Verein zur Fôrderung von Forschungseinrichtungen Richard Wagner Strasse 10, A-9500 Villach, Austria Tel: +43-4242-2004-200, Fax: +43-4242-2004-400 E-mail lnfo@CTR.ac.at Server http://www.CTR.ac.at/ 52 Informacije MIDEM 27(1997)1, Ljubljana KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA CONFERENCES, COLLOQUYMUS, SEMINARS, REPORTS 3 rd European Multichip Module Conference EC-MCM'97 28. - 29. januar 1997, London, Anglija Tretja evropska konferenca o Multi Chip Modulih EC-MCM'97 je bila 28. in 29. januarja 1997 v Londonu v hotelu Hilton zraven letališča Heathrow. Predstavljeni referati so bili v sledečih sekcijah: • Načrtovanje in tehnologija • Substrati in povezave • Izdelava • Testiranje in zanesljivost V poročilu bom na kratko opisal vsebino nekaterih zanimivejših predavanj, na razpolago pa je zbornik referatov. Na začetku je udeležence konference pozdravil Jim Drehle, predsednik IMAPS-a. IMAPS je kratica za "International Microelectronics and Packaging Society", organizacijo, ki je nastala novembra lani z združitvijo ISHM (Internatinal Society for Hybrid Microelectronics) in IEPS (International Electronics Packaging Society). Omenim naj, da se je tudi angleška sekcija ISHM-UK na tej konferenci preimenovala v IMAPS-UK. Konferenca se je začela z dolgim uvodnim predavanjem, v katerem je več predavateljev predstavilo osnove Multi Chip Modulov (MCM), stanje in trende na tem področju. Najprej definicija: Multi Chip Modul - MCM -je elektronski sistem ali podsistem, kjer sta vsaj dve, navadno pa več, golih silicijevih tabletk ali tabletk v CPS (Chip Sized Package) pritrjeni na substratu. V glavnem ločijo tri tipe MCM, ki so izdelani v različnih tehnologijah. To so MCM-L (tiskana vezja), MCM-C (keramika) in MCM-D (tanki filmi). MCM-L so zahtevna večplastna tiskana vezja z linijami minimalne širine, to je navzdol do 25 ¡am, čeprav so bolj tipične širine linij do 100 ¡am. Premer odprtin za povezave med prevodnimi plastmi (vias) je od 300 |am (zvrtane s svedrom) do 75 |am (zvrtano z laserjem). Ta tip MCM je najcenejši. Problem pri MCM-L je neujemanje temperaturnih razteznostnih koeficientov med silicijevimi tabletkami in organskim substratom. MCM-C so "keramični" hibridi visoke gostote, navadno večplastni keramični substrati ali pa kompleksna debe-loplastna večplastna vezja. Večplastni keramični substrati so narejeni iz zelenih folij, potiskanih s prevodnimi linijami in so lahko na osnovi AI2O3 ali AIN z višjo toplotno prevodnostjo (MCM-C z visoko temperaturo žganja) ali pa na osnovi kristalizirajočih stekel (MCM-C z nizko temperaturo žganja). MCM-C omogočajo od vseh treh tipov največje število prevodnih nivojev, tudi preko 40. V tem tipu je mogoče realizirati višje uporovne vrednosti kot v drugih dveh. Širina prevodnih linij je danes med 150 in 200 (xm, če so izdelane s sitotiskom, s posebnimi tehnikami (fotopostopek, sita, narejena iz jedkanih tankih folij) pa so možne tudi širine pod 50 jam. Velikost odprtin je med 300 in 75 |am. MCM-D so moduli, ki imajo nanešene tankoplastne večplastne kovinske povezave (večinoma aluminij ali baker), ločene predvsem s polimernim ali včasih napršenim tankoplastnim (SiOž) dielektrikom. Kot substrat se največ uporablja AI2O3 ali silicij. "Puristi" celo trdijo, da so samo MCM na siliciju tisti pravi MCM. Silicij dobro prevaja toploto, njegov temperaturni razteznostni koeficient pa je seveda isti kot razteznostni koeficient silicijevih tabletk. Vtem primeru se del elektronike izdela lahko že na substratu. Silicijev substrat je najbolj primeren tudi, če je v vezje povezana optoelektronika. Širine linij, izdelanih s fotopostopkom, so lahko precej pod 25 |am, tudi do 2 |am. Zaradi večje gostote prevodnih linij navadno niso potrebni več kot štirje prevodni nivoji. Ocenjujejo, da sta dva prevodna nivoja pri MCM-D ekvivalentna desetim nivojem pri MCM-C. MCM-D je najdražji, vendar omogoča največjo gostoto kompo- Tabela I. Prednosti in slabe strani sistemov, sestavljenih iz obstoječih integriranih vezij, MCM-ov in ASIC-ov. Enkapsulirani IC MCM ASIC Karakteristike slabše srednje boljše Miniaturizacija nizka srednja visoka Tržno tveganje nizko srednje visoko Čas do trga kratek srednji dolg Rel. cena razvoja 1 1 10 Rel. končna cena 1 0,65 0,475 Tabela II. Vrednost tržišča za MC (v miljadarh dolarjev) Leto Azija ZDA Evropa Skupaj Celota 0,95 5,2 0,25 6,4 1995 Prosti trg 2% 30% 13% 25% Celota 8,8 12 3,8 22,6 2000 Prosti trg 16% 40 % 16% 29% Celota 12 15 9 36 2005 Prosti trg 15% 50% 28 % 33% 53 Informacije MIDEM 27(1997)1, Ljubljana nent. Uporablja se predvsem za velike računalnike, grafične postaje in za vojaško ter vesoljsko elektroniko. Gonilna sila za uvajanje MCM so predvsem miniaturi-zacija sistemov, boljše in hitrejše delovanje in zniževanje teže in porabe energije. Velikokrat je boljša COMPARISON OF ¡JBGA FOOTPRINT WITH ALTERNATIVE IC PACAGING OPTIONS □ QFP 900 Iran' • 100% 4ÜG - COB 225 mm' ■ 25% CSP 115 mm'-13% Flip Chip 100 mm«-11% Slika 1: Površina MCM substrata, ki je potrebna za različne načine pritrjevanja tabletke. Od zgoraj navzdol si sledijo ohišje s priključki na vseh štirih straneh, avtomatsko bondiranje na traku, bondiranje zžičko, tabletka, pritrjena na "Chip Size Package" in flip-chip. rešitev MCM, "sestavljen" iz že obstoječih integriranih vezij (IC), kot razvoj novega ASIC-a (Application Specific Integrated Circuit) za isto funkcijo. Precejkrat proizvajalci združijo obstoječe ASIC-se v MCM, medtem pa razvijajo ASIC nove generacije. Prednosti in slabe strani sistemov, sestavljenih iz obstoječih enkapsuliranih integriranih vezij, MCM-ov z golimi silicijevimi tabletkami in ASIC-ov, so shematično predstavljene v tabeli I. Prikazane so primerjave med karakteristikami delovanja, prihrankom prostora oziroma gostoto funkcij, tržnim tveganjem in časom, ki ga izdelek rabi, da pride na tržišče. Podana je tudi relativna cena razvoja in relativna končna cena. Razvoj ASIC je dražji in traja dalj časa, tudi do dve leti, končna cena pa je nižja. Vrednost trga za MCM za leto 1995 in oceni za leti 2000 in 2005 so podane v tabeli II. Večina tega je "in house" poraba, to je, firme vgrajujejo MCM v lastne izdelke, le del je na prostem trgu. Vidimo, da ocenjujejo povečanje vrednosti tržišča za faktor 5 do 6 v desetih letih. Za primerjavo povejmo, da je ocena letnega trga elektronike okrog 300 miljard dolarjev. Kako gosto lahko tabletke pokrivajo površino MCM substrata, je odvisno od načina pritrjevanja. Različni načini so za tabletko velikosti 10x10 mm2 prikazani na sliki 1. Zgoraj je ploščato ohišje s priključki na vseh štirih straneh (QFP - Quad Flat Package), ki zasede skoraj desetkrat večjo površino kot flip-chip. Sledijo avtomatsko bondiranje na traku (TAB - Tape Automatic Bonding), bondiranje z žičko (COB- Chip on Board), tabletka, pritrjena na CPS (Chip Size Package) in flip-chip. CPS zasede samo 20% več prostora na substratu kot flip chip, njegova prednost pa je, da se lahko integrirano vezje prej testira. Slika 2a: flip-chip; kroglice spajke so na blazinicah na robu tabletke Flip-chip bonding Soldei Solder wettablc pads Chip Interconnection tracks Substrate Slika 2b: flip-chip; kroglice spajke so po vsej površini tabletke Vidimo, da pritrditev flip-chipa zahteva manj kot polovico površine, ki jo rabimo za bondiranje z žičko. Poleg tega so parazitske induktivnosti povezav pri flip-chipu tudi do 50 krat manjše kot pri bondirani tabletki. Vendar se danes še vedno v 90% uporablja bondiranje, ki je stara, znana in preizkušena tehnologija. Tehnološko okno pri bondiranju je širše kot pri flip chipu, kjer morajo spajkalne kroglice na tabletki točno naleči na blazinice na substratu. Pri tabletkah, ki so pritrjene kot flip-chip, je tudi onemogočena vizualna kontrola, ker so kroglice spajke skrite med tabletko in substratom. Flip-chip tabletke so vedno dražje od tistih, ki so namenjena za bondiranje z žičko, ker so potrebni dodatni tehnološki postopki, da se izdelajo kroglice spajke. Tehnologija flip-chip izvedbe integriranih vezij, to je tabletk, ki se pritrdijo "z obrazom navzdol", je znana že več kot 25 let, vendar so jo začeli bolj resno uporabljati Adhesive Chi Flow Pressure and Heat Substrate .Conducting panicle Slika 3: Princip povezovanja z anizotropnim prevodnim lepilom. V organskem polimeru so kovinske kroglice s premerom nekaj mikrometrov, ki "naredijo" stik med kontaktnimi blazinicami na tabletki in na substratu. 54 Informacije MIDEM 27(1997)1, Ljubljana šele za MCM, kjer je treba na čim manjšo površino spraviti čim več tabletk. Danes pri precej integriranih vezjih, narejenih v flip-chip izvedbi, preprosto naredijo spajkalne kroglice na blazinicah za bondiranje ob robovih obstoječih tabletke, kot je prikazano na sliki 2a. Za večjo gostoto povezav in manjšo tabletko je potreben nov design, tako da so "bunkice" po vsej površini tabletke, kot vidimo na sliki 2b. Največja možna gostota povezav je za tabletko velikosti 10x10 mm 400 pri bondiranju, 500 pri avtomatskem bondiranju na traku in do 5000 pri fli-chip izvedbi, seveda, če so povezave po celi površini tabletke. Zanimiv nov način pritrjevanja tabletk na substrat, kjer se proizvajalci lahko izognejo uporabi dražjih flip-chip izvedb tabletk in hkrati dosežejo isto gostoto na substratu, je prikazan na sliki 3. Tabletko ravno tako pritrdimo na substrat "z obrazom navzdol", tako da se ujamejo kontaktne blazinice na tabletki in na substratu. Vmes je polimeren material (ACA - Anisotropic Con-ducting Adhesive), v katerem so kovinske kroglice velikosti nekaj mikrometrov. Tu moramo omeniti, da je ta način povezovanja nov za pritrjevanje golih tabletk, se pa že nekaj časa uporablja za kontaktiranje ploščatih zaslonov s tekočimi kristali.Tabletko pritisnejo proti substratu, tako da kroglice naredijo kontakt med blazinicami, nato pa organski material pod vplivom toplote polimerizira in veže tabletko na substrat. Prevodni delci so lahko iz zlata, niklja ali pa kar spajke, ki se med polimerizacijo stali. Z naraščajočim volumskim deležem kovinskih kroglic v polimeru raste verjetnost, da bodo vse prave blazinice na tabletki in na substratu v stiku, hkrati pa se veča nevarnost, da nastane kratek stik med kontakti na sami tabletki ali subtratu. Optimalna koncentracija je okrog 15 volumskih procentov. Še vedno so pri izdelavi MCM določen problem "zanesljivo dobre tabletke" (KGD - Knovvn Good Die) oziroma njihova dobavljivost. V Multi Chip Module se namreč vgrajujejo gole, neenkapsulirane silicijeve tabletke, ki morajo biti zanesljivo "dobre". Ko se tabletke testirajo na silicijevi rezini z "gozdom" kontaktnih konic, večina proizvajaiev pretestira le enosmerne in nizkof-rekvenčne parametre zaradi parazitskih kapacitivnosti in induktivnosti dolgih testnih konic. Kasnejše testiranje pri visokih taktih ure in po vtekanju pri povišanih tem-peratirah (burn-in) se običajno izvaja na enkapsuliranih tabletkah s primernimi priključki. Nekaj let je trajal začaran krog; proizvajalcem silicijevih tabletk se ni izplačalo nabaviti drage opreme za testiranje golih tabletk, ker je bilo povpraševanje premajhno. Zato so bile gole tabletke večinoma precej dražje kot enkapsuli-rane, kar je seveda podražilo MCM rešitve, ki so se zato manj uporabljale. Zadnje čase pa se zaradi miniaturi-zacije potrošniške elektronike, na primer mobilnih telefonov, povečuje potreba po MCM komponentah, zato vedno več proizvajalvev že ponuja kot standardno opcijo nekatere tipe tabletk kot gole, "zanesljivo dobre tabletke". Zanimivo je, da zaenkrat med njimi ni največjih svetovnih proizvajalcev integriranih vezij. Za to sta dva glavna razloga. Prvi je, da so MCM konkurenca ASIC vezjem, ki jih ti proizvajalci razvijajo in prodajajo. Drug razlog je, da pri enkapsuliranem in pretestiranem integriranem vezju vedo, da so prodali dobro komponento. če pa prodajo integrirano vezje kot golo tabletko in vezje potem v MCM ne deluje, se ne ve, kdo je odgovoren, ali proizvajalec integriranega vezja ali izdelovalec MCM. Druga rešitev, ki se je pojavila v zadnjih nekaj letih, pa je uporaba "dovolj dobre tabletke" (GED- Good Enough Die). To so gole tabletke, za katere proizvajalec jamči z neko stopnjo verjetnosti, recimo 95%, da so dobre. V tem primeru vgradijo v MCM pač več integriranih vezij, na primer 20 namesto potrebnih 16. Vezja morajo biti seveda enaka, na primer spominska vezja. Za testiranje golih tabletk se uporablja več načinov, vendar vsak od teh lahko poškoduje tabletko. Eden načinov je, da se tabletko pritrdi in ožiči (bondira) na začasen substrat za testiranje in vtekanje. Po testu z laserjem porežejo bonde na tabletki tik ob kroglicah, Tabela III: Tehnološki trendi in cena testiranja \ Termination Resistor mm wmm w;.. ¡ Optica! Waveguide Integrated R ImuRimcii O Integrated L Integra!;.'! C GND VDD Ceramic or PWB Slika 4: Shematičen prikaz ideje SLIM - Single Level Integrated Module. Vse pasivne komponente bodo v substratu, na površini pa bodo flip-chip komponente, ki bodo pokrivale do 80% površine. 1970 SSI 1980 LSI 1990 ULSI 1995 MCM 2000 MCM Število vrat 10 5 k 200 k 800 k 2000 k Spomin 256 b 16 kb 16 Mb 256 Mb 10 Gb Število transi-storjev 102 105 109 1012 1014 Hitrost 100 kHz 10 MHz 100 MHz 300 MHz 500 MHz Število priključkov 14 44 356 600 1000 Cena testiranja 5% 20% 60% 70% 60% 55 Informacije MIDEM 27(1997)1, Ljubljana tabletko odlepijo in jo ponovno bondirajo v MCM tako, da je kroglica drugega bonda na kroglici prvega. Pri tem se lahko poslabša adhezija med bondom in kontaktno blazinico na tabletki. Drug način je, da so na testnem substrati kroglice spajke, na katere se prispajka in potem odspajka integrirano vezje, tretji pa, da so na substratu kratke konice, na katere se hibridno vezje mehansko pritisne. V teh dveh primerih se lahko poškodujejo kontaktne blazinice. Zato narašča število integriranih vezij, seveda predvsem kompleksnih, ki imajo že na tabletki narejeno elektroniko za samotestiranje. Ta zasede okrog 10% površine tabletke. Ocenjujejo, daje bilo leta 1995 takih vezij 40%, leta 2000 jih bo 50% in leta 2007 že 90%, predvsem zaradi naraščajoče kompleksnosti vezij in s tem čedalje težjega in bolj zapletenega testiranja. Naraščanje kompleksnosti integriranih vezij od leta 1970 do danes in predvidevanja za leto 2000 so prikazana v tabeli III. Podana je tudi cena testiranja kot del celotne cene komponente. Vidimo, da je ta zrasla v 25 letih od 5% leta 1970 do 70% celotne cene leta 1995, nato pa pričakujejo upad zaradi naraščajočega števila vezij z vgrajenim samotestiranjem. Trend v prihodnjosti bo seveda še nadaljna miniaturi-zacija, večja in večja gostota funkcij, večja zanesljivost in nižje cene. Kot primer MCM, kije nekoliko dramatično ISSCC'97 Statistical Data: Date: Location: Participants: Key Topics: Number of Contributions: Short Summary: The main topic was "Multimedia", three of the invited papers also were dedicated to this topic, several papers dealt with system integration aspects in this field combining processing power and memory. Main trends for these extremely high integration processes are: - multy-layer wiring concepts (6-7 layers) - new techniques as low k dielectric materials, CMP (chemical-mechanical-polishing), stacked contacts and wires - embedded DRAMs in logic processes The re-use of intellectual property, meaning the ability to successfully combine pre-existing of functional blocks from previous designs (either in house or acquired from others) will be a key factor in the future competition in the field of multimedia. Several contributions focused on different concepts for low power/low voltage circuits. Using different circuit predstavljen kot integracija za novo tisočletje (to bo kar kmalu), pokažimo SLIM - Single Level Integrated Module. SLIM je shematično prikazan na sliki 4. Cilj je znižati ceno za več kot deset krat in izboljšati karakteristike. Današnji MCM stanejo od 5 do 50 $/cm2, SLIM pa naj bi stal manj kot 1 $/cm2. Vse pasivne komponente, to so prevodniki, upori, kondenzatorji in optoelektronske povezave, bodo pokopane v samem substratu, na površini bodo samo gole tabletke. To bodo flip-chipi, ki bodo pritrjeni "z ramo ob rami", tako da bodo tabletke pokrivale 80% površine substrata. Za primerjavo povejmo, da je bila "površina silicija", to je, dejanska površina tabletk integriranih vezij, leta 1970 2% plošče tiskanega vezja, leta 1980 okrog 7%, danes pa je v najboljših primerih okrog 15%. Seveda pa moramo povedati, da je zaenkrat SLIM samo ideja oziroma bolje rečeno projekt. Pri tem je koordinator Georgia Institute of Technology v Atlanti, ZDA, pri projektu pa sodeluje kar spoštovanja vredno število 28 industrijskih partnerjev. Marko Hrovat Institut Jožef Stefan Jamova 39 1001 Ljubljana techniques (e.g. switched wells for modulating Vt; using SOI-substrates; ...) operating voltages down to 1 V seem to be achievable at high speeds. There were some very impressive reports about high performance microcontrollers (e.g. Pentium-Pro from Intel and the Alpha Chip from DEC). For these processors clock frequencies in the range of 500 to 700 Mhz are already used, speeds well above 1 GHz can be exspected in the next years. The bottleneck in the designs is the power dissipation (the Alpha Chip uses up to 70 Watts at a supply voltage of 2.0 Volts!) and the voltage drops on bond wires and internal lines during the main switching times. Furthermore the interface of data between block of the chip and between different chips will be of key importance. A concept was presented, which enables the transmission of several 100 Mbit/sec over a single pin by using low voltage swings on terminated lines. The press interest concetrated on a japanese contribution, where a DRAM-memory cell with 4 logic states (=2 Bits) was used to construct a prototype of a 4 GBit DRAM. But this chip is still some years away from its introduction to the market. The only paper from Austria camefrom the Siemens Design Center in Villach (D.Draxelmayr, Differential Hall Sensor) and was very well valued in the sensor session. Other contributions came from UC-Berkeley on an experimental 3-axis accelerometer system circuit based on micromachining technology. ISSCC will be organized again on February 5-7,1998 in San Francisco; paper deadline is September 5, 1997. Wolfgang PRIBYL February 6,7,8 1997 San Francisco, CA, USA > 3.200 I Multimedia, Low power/low voltage, Mixed signal circuits 164 papers total, 4 plenary/invited papers 49 papers from far east 35 papers from Europe 56 Informacije MIDEM 27(1997)1, Ljubljana PRIKAZ MAGISTRSKIH DEL IN DOKTORATOV V LETU 1996 M. S. and Ph. D. ABSTRACTS, YEAR 1996 MAGISTRSKA DELA Naslov naloge: Modeliranje diode p + i n+ z razcepljeno anodo v prisotnosti magnetnega polja Avtor: Drago Kopač, dipl.ing. Mentor: prof. dr. Lojze Trontelj Univerza v Ljubljani, Fakulteta za elektrotehniko Modeliranje polprevodniških elementov je kompleksen proces, vpet med mikroelektronsko tehnologijo in elektroniko. Stopnja razvoja obeh dejavnikov je v veliki meri odvisna od razvoja modeliranja. Modeliranje polprevodniških elementov je danes proces, ki se razvija hkrati z modeliranjem tehnoloških procesov. Modelski parametri so vedno tesneje povezani s fizikalnimi veličinami, polprevodniške enačbe (hidrodinamične enačbe) opisujejo dogajanje na submikronskih razdaljah, kar zahteva današnja polprevodniška tehnologija. Modeliranje polprevodniških elementov omogoča graditev modelskih enačb elementa, ter po drugi strani preverjanje polempiričnih enačb različnih fizikalnih mehanizmov preko privzetega modela elementa in meritev njegovega odziva. Pri raziskavi in razvoju polprevodniških magnetnih senzorjev je potrebno poznati fizikalno dogajanje v senzorju, tako kvalitativno kot kvantitativno. Z reševanjem polprevodniških enačb z upoštevanjem magnetnega polja je storjen prvi korak v smeri kvantitativnega opisa vpliva magnetnega polja. Pri tem se je potrebno zavedati poenostavitev, ki zadevajo fizikalno sliko dogajanj v senzorju ali pa poenostavitev in napak uporabljenega postopka reševanja. Upoštevanje dodatnih vplivov, ki pomenijo realnejšo sliko dogajanj v polprevodniškem elementu, največkrat ni mogoče brez spremembe zasnove polprevodniških enačb. Zato je potreben pri reševanju polprevodniških enačb sistematičen in strukturiran pristop, ki omogoča reševanje določenega razreda problemov ter hitro prilagoditev za reševanje splošnejših problemov. Npr. pri načrtovanju programa MAGSS je bilo veliko časa porabljenega za izračun Jacobijeve matrike na robovih območja reševanja polprevodniških enačb, kljub temu da so to le daljice. V primeru ukrivljenih robov bi bilo potrebno predelati celotni program MAGSS na računanje s končnimi elementi, kar bi pomenilo načrtovanje od začetka. Zato se je pametno lotiti širšega spektra problemov od sprva postavljenih, saj kljub večjemu začetnemu delu pri zasnovi podatkovnih struktur in algoritmov reševanja splošnejših polprevodniških enačb, pridobimo kasneje pri prilagajanju drugačnim problemov. Tak pristop pa zahteva timsko delo izkušene ekipe, zato predstavlja program MAGSS le kompromis med vloženim delom in rezultati simulacij. Pri sistematskem dvodimenzionalnem modeliranju z upoštevanjem magnetnega polja posplošitev na tri di- menzije ne pomeni veliko dodatnega dela, zahtevnejši je prehod od metode končnih razlik na metodo končnih elementov. Program MAGSS pri tem prehodu lahko pomeni oporo pri testiranju njegove nove zasnove. Program MAGSS uporablja metodo končnih razlik, kar ima za posledico nekaj pomankljivosti. Za večjo točnost računanja je treba najprej ugotoviti, v katerih območjih je potrebno zgostiti mrežo, preračunati novo neekvidi-stantno mrežo ter ponoviti simulacijo. Prav tako je potrebno zgostiti mrežo ob električnih kontaktih zaradi točnejšega računanja tokov kontaktov (posebno ob prisotnosti magnetnega polja). Reševanje poteka dokaj počasi, saj okolje MATLAB teče pod operacijskim sistemom Oken. Ker pa je okolje MATLAB kot po meri za reševanje polprevodniških enačb, obdelavo in predstavitev rezultatov, je to odtehtalo počasnost reševanja. Programski jezik okolja MATLAB je zelo podoben jeziku C, kar predstavlja možnost kasnejšega prenosa iz osebnega računalnika na delovno postajo ter s tem hitrejšega reševanja. Za realno sliko dogajanj v polprevodniškem elementu pri neničelnem magnetnem polju je dejansko potrebno tridimenzionalno modeliranje. To se je izkazalo že pri obravnavi magnetodiode v dveh dimenzijah, ko ni bilo mogoče upoštevati površinske rekombinacije. S tega stališča je program MAGSS uporaben kot ocena kvantitativnega dogajanja v dvodimenzionalnem prerezu. Ker omogoča tudi modeliranje gibljivosti in rekombinacije in preko tega modeliranje Haliove gibljivosti in magnetokoncentracije, je z njim mogoče opraviti zanimive simulacije, ki pomagajo k boljšemu razumevanju dogajanj v polprevodniškem elementu. Nadaljne delo naj bi potekalo na simulaciji dogajanj v magnetotransistorju in magnetnem MOS transistorju ter primerjavi s Hallovo ploščo. Vzporedno naj bi potekala predelava programa MAGSS za tridimenzionalno modeliranje. Naslov naloge: Analiza degradirane heterospojne amorfnosilicijeve sončne celice Avtor: Aleš Groznik, dipl. ing. Mentor: prof. dr. Franc Smole Univerza v Ljubljani, Fakulteta za elektrotehniko Zelja poiskati cenen material, ki bi nadomestil relativno drag kristalni silicij, je pripeljala polprevodniško tehnologijo do raziskav na področju amorfnega silicija (a-Si:H). Odločilne prednosti, ki odlikujejo a-Si:H, so predvsem nizka cena surovine, cenen proces proizvodnje elementov, raznovrstne nosilne podlage in možnost nanašanja na velike površine različnih geometrij. Čeprav segajo prvi zametki aplikacij a-Si:H v 70-ta leta, potekajo dandanes še vedno številne teoretične in ek- 57 Informacije MIDEM 27(1997)1, Ljubljana sperimentalne raziskave aplikacij na vrsti področij v industriji, kot najbolj obetavno področje uporabe a-Si:H pa velja področje tankoplastnih sončnih celic. Področje raziskav a-Si:H sončnih celic lahko razdelimo v dve smeri - na podpodročje raziskav materiala in podpod-ročje raziskav struktur. Glede na dejstvo, da so dosedanja najpomembnejša spoznanja na področju raziskav a-Si:H plod raziskav struktur (optimizacija debeline I plasti, optimizacija P+ plasti, heterospojev ter kontaktnih spojev, optimalna absorbcija vpadle svetlobe, tandemska izvedba), lahko ugotovimo, da področje študije struktur v dognanjih zdaleč prekaša študije materiala kar omejuje nadaljnje dosežke v praksi. Ena izmed najpomembnejših lastnosti materiala, ki omejuje delovanje a-Si:H sončnih celic, predstavlja svetlobna degradacija, saj je osnovna zakonitost osvetljene a-Si:C:H/a-Si:H P I N strukture sprememba notranjih lastnosti, ki vplivajo na spremembo izhodnih veličin. Glavni razlog za zelo počasen napredek na področju raziskav svetlobne degradacije je nepoznavanje resničnih vzvodov degradacije, s čimer je povezano tudi pomanjkljivo vedenje o spremembi parametrov strukture v toku degradacije. Razumevanje notranjih dogajanj v procesu degradacije pa je izredno pomembno za nova dognanja znanosti na področju stabilnega dolgoročnega delovanja a-Si:H struktur. Predloženo delo je poskus analize dogajanj v degradirani a-Si:C:H/a-Si:H strukturi in je vsebinsko sestavljeno iz treh sklopov. Prvemu sklopu, ki opisuje teoretična izhodišča analize sledi kratek opis prevedbe analitičnih izrazov v nu-merično okolje, na katerem sloni ASPIN simulator, s katerim smo analizirali strukturo v toku degradacije. Vsebinsko najbogatejši in najpomembnejši je tretji sklop, ki temelji na modeliranju dogajanj v degradirani a-Si:C:H/a-Si:H strukturi in povzema ključna spoznanja degradacije. Poglobljena dognanja analize opisujejo sledeči prispevki z mednarodnih konferenc: A. Groznik, F. Smole, M. Topic, J. Furlan: Investigation ofa-Sr.H p-i-n solar cell degradation; Proceeding of 23rd international conference on microelectronics, MIEL 95, Terme Čatež, str. 303, 1995 F. Smole, A. Groznik, M. Topic, P. Popovič, J. Furlan: Investigation of a-Si:H p-i-n solar cell degradation; Material Research Society Symposium Proceedings, San Francisco, v tisku (1996), A. Groznik, F. Smole, M. Topic, J. Furlan: Progress in investigation of a-Si:H p-i-n solar cell degradation; Proceeding of 24th international conference on microelectronics, MIEL 96, Nova Gorica, v tisku (1996) F. Smole, A. Groznik, M. Topic, J. Furlan: Analysis of degraded a-Si:H p-i-n solar cells-the role of defect state capture cross-sections, Proceeding of 9th International Photovoltaic Science and Engineering Conference, Mi-yazaki, v tisku (1996). Naslov naloge: Vključitev jezika VHDL v programski paket za formalno verifikacijo sistemov Avtor: Marjan Štrakl, dipl. inž. el. Mentor: red. prof. dr. Bogomir Horvat Komentor: doc. dr. Zmago Brezočnik Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V magistrskem delu je prikazana vključitev jezika VHDL v programski paket za formalno verifikacijo. Opisana je povezava orodja za načrtovanje digitalnih integriranih vezij s programskim paketom za formalno verifikacijo. Obe orodji imata različna vhodna formata opisa digitalnih vezij, zato je bilo za povezavo potrebno izdelati ustrezen prevajalnik med operna opisoma. Veliko načrtovalskih orodij vključuje VHDL za vhodni opis digitalnega vezja, naš cilj pa je vključitev jezika VHDL še v orodja za formalno verifikacijo. Z uporabo prevajalnika lahko verificiramo sekvenčna vezja s programskim paketom HSIS. V magistrskem delu je prikazan celoten postopek pretvorbe iz VHDL v BLIF-MV in verifikacija vezij s programskim paketom HSIS z metodo preverjanja modelov. Rezultati testiranj avtomatov z večjim številom dosegljivih stanj kažejo na veliko časovno in prostorsko zahtevnost algoritmov za preverjanje formul CTL v programskem paketu HSIS. Ker prevajalnik ni vezan samo na programski paket HSIS, pričakujemo boljše rezultate z drugimi algoritmi in orodji, ki jih razvijamo in imajo za vhodni opis vezja format BLIF-MV. Naslov naloge: Univerzalni merilni modul na osnovi DSP Avtor: Jože Arh, dipl. ing. Mentor: prof. dr. Anton Pozne Univerza v Ljubljani, Fakulteta za elektrotehniko Magistrsko delo je vsebinsko razdeljeno na dva dela, ki se med seboj dopolnjujeta. Prvi del je namenjen načrtovanju in dokumentiranju univerzalnega merilnega modula MODUL TMS320C30, kjer uporabimo digitalni signalni mikroprocesor (DSP) z vgrajeno aritmetiko s plavajočo vejico TMS320C30 (Texas Instruments). V drugem delu so podrobno analizirani rezultati diskretne Fourierjeve transformacije (DFT), ki je osnovno matematično orodje pri obdelavi zajetih vzorcev signala. Izvedeno tiskano vezje s pripadajočimi elektronskimi komponentami je namenjeno razvojno-raz-iskovalnemu delu pri meritvah veličin signalov s pomočjo hitre digitalne obdelave ter je zaradi svoje enostavnosti uporabno kot učni pripomoček. Magistrsko delo po poglavjih: 8 V drugem oziroma uvodnem poglavju je prikazan zgodovinski pregled razvoja digitalnega signalnega procesiranja in DSP-jev. • V tretjem poglavju so prikazane lastnosti današnjih DSP-jev in njihova uporabna vrednost. Preglednica modernih DSP-jev v prilogi prav tako spada k poglavju. 58 Informacije MIDEM 27(1997)1, Ljubljana Jedro magistrske naloge je četrto poglavje, ki je predvsem namenjeno končnemu rezultatu tega dela, to je delujoče elektronsko vezje. Največ časa je posvečeno tehničnemu opisu vezja. Elektronsko vezje je načrtovano tako, da omogoča najbolj pomembne funkcije, ki so v tem primeru: velika matematična procesna moč, enostavna priključitev A/D in D/A pretvornikov, komunikacija z zunanjostjo (IEEE488 vodilo), splošna vzporedna vhodno/izhodna komunikacija, priključitev na posnemovalnik, fizična dostopnost vseh uporabnih signalov, itd. Peto poglavje je povsem teoretično. Zbrano specifično znanje je namenjeno nadaljnjemu delu na elektronskem vezju, to je digitalnemu obdelovanju signalov v merilne namene. V njem je podrobno prikazano razmerje med rezultati DFT in dejanskim stanjem merjenega vzorčenega signala. Za boljše razumevanje je podanih veliko preprostih primerov. Na koncu je obdelana metoda interpolacije DFT, s pomočjo katere pridemo do rezultatov, ki so verna slika vzorčenemu signalu. Naslov naloge: Optični vlakenski merilnik sile na osnovi sklapljanja vodenih rodov Avtor: Denis Oonlagič, dipl. inž. el. Mentor: red. prof. dr. Vitodrag Kumperščak Komentor: red. prof. dr. Joško Budin, Fakulteta za elektrotehniko Ljubljana Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V okviru magistrskega dela so obravnavani senzorji na osnovi mikroukrivljenosti, predstavljena je povsem nova oblika senzorja na osnovi mikroukrivljenosti (EME struktura), izvedena je obširna eksperimentalna analiza EME strukture ter teoretično vrednotenje rezultatov. Podana je primerjava med klasičnimi senzorji na osnovi mikroukrivljenosti ter EME strukturo. Realiziran je zgodnji prototip merilnika sile na osnovi EME strukture, ki potrjuje uporabnost EME strukture v senzoriki. Naslov naloge: Polarimetrični termometer na osnovi interference rodov Avtor: Miha Završnik. dipl. inž. el. Mentor: red. prof. dr. Dali Oonlagič Komentor: red. prof. dr. Vitodrag Kumperščak Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V magistrski nalogi "Polarimetrični termometer na osnovi interference rodov" je obravnavan in izveden polarimetrični merilnik temperature na osnovi interference med polarizacijskima rodovoma znotraj visoko dvolom-nega vlakna. Merilnik za merilno področje od 200°C do 600°C deluje v linearnem delu prenosne karakteristike polarimetra in omogoča absolutno merjenje temperature. Naslov naloge: Avtomatizacija stroja za justiranje mini relejev Avtor: Anton Kropeč, dipl. inž. el. Mentor: red. prof. dr. Karel Jezernik Komentor: red. prof. dr. Rafael Cajhen Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V magistrski nalogi je opisan razvoj stroja za justiranje mini relejev. Stroj meri mehanske in električne parametre releja ter mehanske justira na ustrezno vrednost. Poudarek je bil na izbiri elektromotornih pogonov in merilne metode za merjenje sile, postavitvi matematičnega modela za elektromotorne pogone in reševanju teh modelov. Matematični model sem analiziral z računalniškimi simulacijami. Stroj meri silo mirnega in delovnega kontakta ter tok, oziroma napetost pritega in odpusta jarma, zato so v delu izračunani mejni pogreški merilnih metod, časi trajanja posameznih meritev in vplivi nanje. Predstavljena je tudi mikroračunalniška konfiguracija vodenja stroja in programska oprema na mikroraču-nalniškem in nadzornem nivoju. Naslov naloge: Merilnik namagnetenosti s tresočim vzorcem Avtor: Franc Dime, dipl. ing. Mentor: prof. dr. Dušan Fefer Univerza v Ljubljani, Fakulteta za elektrotehniko Razvoj novih magnetnih materialov in postopkov za njihovo pridobivanje zahteva poleg poznavanja osnov magnetnih lastnosti tudi merilno tehniko za njihovo določevanje. Interdisciplinarnost področja magnetizma, kjer se srečujemo fiziki, kemiki, metalurgi in elektrotehniki, prinaša različne predstave o magnetnih pojavih. Zaradi različnosti v razumevanju magnetnih pojavov je nastalo nesoglasje, katere magnetne lastnosti je možno meriti z določenimi tehnikami in kako točno. Zato smo si pred gradnjo instrumenta postavili cilj, naj bo vsak del instrumenta premišljeno izbran in načrtovan za točno določeno nalogo. Z rešitvami v načrtovanju se poskušamo vnaprej čimbolj izogniti motilnim signalom in vplivom okolice, ki vnašajo motnje v delovanje instrumenta. Delno smo si pri načrtovanju pomagali tudi s standardi, ki veljajo na področju magnetike. Ce med izdelavo in uporabo upoštevamo ta meroslovna pravila igre, lahko merilni instrument uvrstimo na določeno mesto v meroslovni lestvici. V merilniku namagnetenosti s tresočim vzorcem določamo magnetni moment vzorca materiala m. Moment m je mera za velikost magnetnega polja, ki izvira iz samega vzorca. Magnetne momente opažamo v vzorcih trajnih magnetov in v vzorcih materialov, izpostavljenih magnetnemu polju z jakostjo H. Vzorci preizkušanega materiala niso vedno enako veliki, zato jim določamo namagnetenost M, ki predstavlja mag- 59 Informacije MIDEM 27(1997)1, Ljubljana netni moment vzorca, normiran na enoto njegove prostornine V. Meritve magnetnih lastnosti vzorcev v merilniku namag-netenosti s tresočim vzorcem temeljijo na merjenju inducirane napetosti ui. Vzorec z lastnim ali, zaradi izpostavljenosti statičnemu magnetnemu polju, pridobljenim magnetnim momentom, se trese v bližini odjemnih tuljav. Inducirana napetost, kot posledica upiranja narave spremembam stanja, je premosoraz-merna m vzorca. Merilni rezultat umerjenega instrumenta daje torej podatek o_namagnetenosti vzorca M ali samo ene komponente M, odvisno od razporeditve odjemnih tuljav. Velikost z meritvijo določene M, kot odziva vzorca na vzbujevalno polje H, je odvisna tudi od oblike vzorca, ker vpliva na nastanek razmagnetil-nega polja vzorca na njegovi površini. Spremembe magnetnih lastnosti materialov zaradi višanja temperature so posledica povečevanja razdiralnega učinka kT nasproti urejenosti magnetnih momentov v snoveh. Namagnetenost vzorca M je odvisna od jakosti magnetnega polja H, kateri je izpostavljen. Za željeno obliko osno simetričnih polovih čevljev elektromagneta in širino zračne reže smo izračunali velikost območja, v katerem se sme tresti vzorec (poglavje Določanje območja homogenosti H). Pri načrtovanju in razporejanju odjemnih tuljav v prostoru okoli tresočega vzorca smo upoštevali več rešitev iz literature (poglavje Gradnja merilnika..). Potek merjenja vodi in nadzoruje osebni računalnik. Instrument, kakršnega načrtujemo, izdeluje serijsko več proizvajalcev, ki tržišču ponujajo bistvene lastnosti merilnika: velikost, masa instrumenta, doseg H, območje homogenosti H, najvišja temperatura, občutljivost. Znane so rešitve za kriostatske meritve, in meritve samo na sobni temperaturi, toda celovite predstavitve podobnega merilnika s pečjo nismo našli. Omenjeni so le posamezni deli, z vgradnjo katerih izboljšamo delovanje kupljenih instrumentov. DOKTORSKE DISERTACIJE Naslov doktorske disertacije: Analiza heterospojnih amorfnosilicijevih struktur Avtor: Marko Topič Mentor: prof. dr. Franc Smole, dipl. ing. Univerza v Ljubljani, Fakulteta za elektrotehniko Naraščajoča kompleksnost heterospojnih amorfnosilicijevih struktur zahteva permanentno izboljševanje numeričnih modelov v računalniških simulatorjih njihovega delovanja. V nasprotju s simulacijo polprevodnikih struktur iz monokristalnih materialov predstavlja pri simulaciji amorfnosilicijevih struktur modeliranje rekombinacijsko-generacijskega mehanizma enega izmed glavnih problemov. Zato smo se na začetku doktorske disertacije posvetili opisu razvoja teorije modela gostote stanj za amorfni silicij, ki vključuje tvorbo defektov v aSi:H na osnovi termodinamičnega pristopa s pomočjo preprostih ravnotežnih kemičnih reakcij. Na osnovi izpeljanega teoretičnega "defect pool" modela smo tvorili numerični DP-DOS model gostote stanj in ga uspešno vključili v simulator ASPIN. Na osnovi nedavnih eksperimentalnih rezultatov smo DP-DOS model izpopolnili z vključitvijo odvisnosti naklona Evo in Eco od koncentracije dopiranja. Veljavnost izboljšanega DP-DOS modela gostote stanj pri modeliranju večplastnih a-Si:H heterostruktur smo preverili na primeru pin heterospojne sončne celice. Analizirali smo vplive obeh pristopov na njene notranje lastnosti in zunanje karakteristike pri različnih vrednostih potencialne bariere na površini p plasti. Pri amorfnosilicijevih sončnih celicah igra zelo pomembno vlogo sprednji kontaktni heterospoj, t.j. spoj med transparentnim prevodnim oksidom (TCO) in sprednjo dopirano amorfnosilicijevo plastjo. Simulator ASPIN smo izpopolnili tako, da analizirana struktura vključuje TCO plast s TCO/a-SiC:H oz. s TCO/a-Si:H heterospo-jem. Ta razširitev ne zagotavlja samo verodostojnejših robnih pogojev, temveč omogoča tako analizo efektov na heterospoju med sprednjim TCO-jem in p(a-SiC:H) kot tudi analizo efektov na heterospoju med n(a-Si:H) in zadnjim TCO jem. S pomočjo analize TCO/p(a-SiC:H) kontaktnega het-erospoja smo razrešili neskladje med velikim kontaktnim potencialom in izmerjeno potencialno bariero v p plasti. Neskladje je moč razložiti z visoko gostoto defektnih stanj na heterospoju, ki zaradi močnega negativnega prostorskega naboja v prehodnem področju povzročajo strm padec potenciala znotraj heterospo-jnega prehodnega področja. Preostanek kontaktnega potenciaia pa sega v p plast kot potencialna bariera, ki zavira transport svetlobno generiranih nosilcev naboja. Zadnji trendi na področju izdelave amorfnosilicijevih sončnih celic izkoriščajo odlične lastnosti mikrokristal-nih silicijevih (pc-Si) dopiranih plasti, ki so že prinesle izboljšanje zmogljivosti enojnih in tudi tandemskih a-Si:H sončnih celic. Da bi analizirali njihovo funkcijo pri delovanju takšnih heterospojnih struktur, smo priredili ASPIN simulator za analizo heterospojnih večplastnih struktur, ki vključujejo TCO plast, |ic-Si plast in a-Si:H plasti. Interpretirali smo notranja dogajanja v jiC-Si plasti in na njenih heterospojih ter raziskali možnosti optimizacije enojnih heterospojnih sončnih celic z vgrajeno (ic-Si plastjo. Ugotovili smo, da je najboljše fotoelek-trične lastnosti moč doseči z uporabo kombinirane p(ju,c-Si)/p(a-SiC:H) plasti s spremljajočo p-i(a-SiC:H) prehodno plastjo. Analizirali smo električne lastnosti zadnjega TCO/n(a-Si:H) kontaktnega heterospoja in ugotovili, da je za izboljšan zadnji kontakt (TCO/kovina) najprimernejši ZnO, ker tvori zelo majhen kontaktni potencial z n(a-Si:H). Analiza p-i-n/ZnO strukture je pokazala, da je zaradi vpetja Fermijevega nivoja na površini ZnO plasti možno n(a-Si:H) plast stanjšati do debeline nekaj nanometrov, ne da bi škodovali napetosti odprtih sponk ali polnilnemu faktorju celice. Tanjša n plast povečuje kvantni izkoristek v dolgovalovnem področju in s tem povečuje kratkostični tok ter izkoristek celice. 60 Informacije MIDEM 27(1997)1, Ljubljana Amorfni silicij je s svojimi zmesmi (a-Sii-xCx:H, a-Sii-xGex:H) postal tudi obetajoč kandidat za cenene aplikacije v tehnologiji izdelave senzorjev velikih površin. Da bi prebrodili težave in omejitve dosedanjih a-Si:H struktur za detekcijo treh osnovnih barv, smo predlagali nove idejne rešitve detektorja treh osnovnih barv (modra, zelena, rdeča). Te temeljijo na sestavljenih amorfnosilicijevih heterostrukturah s tremi kontakti. Med njimi smo analizirali in izdelali strukturo TCO/pin/TCO/pinip/kovina in strukturo TCO/pinip/TCO/pin/kovina. S pomočjo simulatorja ASPIN smo izvedli optimizacijo geometrijskih dimenzij posameznih plasti znotraj predlaganih struktur. Ob tem smo podali vpogled v fizikalna dogajanja znotraj strukture pri različnih delovnih pogojih. Pri izdelani TCO/pin/TCO/pinip/kovina strukturi smo naleteli na težave z delovanjem phn fotodetektorja za modro barvo, ker je le-ta zelo tanek (50 nm). Spektralna občutljivost pi2ni3p strukture za zeleno in rdečo barvo je zelo dobra. Zelo dobri so tudi faktorji barvne izločitve in barvnega dušenja. V izdelani pi2ni3p strukturi bi bilo potrebno izboljšati le temno tokovnonapetostno karakteristiko, ki bi omogočala zaznavanje tudi pri višjih vrednostih napetosti, kjer bi bil zbirni izkoristek še večji. V TCO/pinip/TCO/pin/kovina strukturi smo izdelali najprej piini2p strukturo, ki izkazuje odlične rezultate za zaznavanje modre in zelene barve. Problem tanke vrhnje pii n diode je v tej strukturi odpravljen, saj znaša celotna debelina pii ni2P strukture preko 180 nm, kar pa več kot zadostuje za odpravo lokalnih krajevnih defektov, ki preprečujejo delovanje samostojnega pi i n fotodetektorja. V samostojnem pi3n fotodetektorju lokalni krajevni defekti sploh niso nevarni, saj je struktura dovolj debela. Za obe strukturi smo ugotovili linearno odvisnost med intenziteto vpadne svetlobe in fototokom za detekcijo vseh treh barv z visokimi faktorji barvne izločitve in s tem potrdili rezultate numerične analize ter same idejne rešitve detektorja treh osnovnih barv. Glede na dejstvo, da je bila izvedena samo enkratna izdelava predlaganih struktur, lahko zaključimo, da je bilo ujemanje med eksperimentalnimi in numeričnimi rezultati več kot zelo dobro. Naslov doktorske disertacije: Vpiiv visokih koncentracij primesi na lastnosti silicijevih in silicijevo-ger-manijevih struktur Avtor: Saša Sokolič Mentor: prof. dr. Slavko Amon Univerza v Ljubljani, Fakulteta za elektrotehniko Poznavanje in upoštevanje efektov visoke koncentracije primesi je izjemnega pomena za modeliranje in optimizacijo silicijevih bipolarnih tranzistorjev in npn Si/Sii-xGex/Si heterospojnih bipolarnih tranzistorjev (SiGe HBT). Uspešno delovanje SiGe HBTjev pri nizkih temperaturah in pomen teh elementov za nizko temperaturno elektroniko narekuje poznavanje efektov vi- soke koncentracije primesi tudi pri nizkih temperaturah. V disertaciji je podan študij fizikalnih dogajanj v n- in p-tipu silicija in p-tipu SiGe pri visoki koncentraciji primesi v temperaturnem območju med nizkimi temperaturami in sobno, pri čemer so nas zanimali predvsem efekti, ki vplivajo na statistiko nosilcev naboja. Podana je analiza različnih efektov, do katerih prihaja pri visoki koncentraciji primesi. Rezultati kažejo, da je pri modeliranju sistema silicij-SiGe v širokem temperaturnem območju potrebno upoštevati več efektov: -efekt gostote stanj, -degeneriranost, -dejansko oženje prepovedanega pasu zaradi visoke koncentracije primesi, -premik Fermijevega nivoja zaradi repa gostote stanj v pasu večinskih nosilcev naboja in -dejansko oženje prepovedanega pasu zaradi germanija in strukturne napetosti. Pri analizi temperaturne odvisnosti posameznih efektov smo ugotovili, da lahko zadovoljivo opišemo razmere s temperaturno odvisnostjo efekta gostote stanj in degeneriranosti. Obravnavali smo navidezno oženje prepovedanega pasu. Le-to je definirano kot vsota vseh efektov, ki vplivajo na pn produkt v opazovanem materialu glede na referenčni material. Navidezno oženje prepovedanega pasu je možno določiti iz tranzistorskih tokovnih karakteristik. Zaradi tega predstavljajo empirični modeli za navidezno oženje prepovedanega pasu najpogosteje uporabljane modele pri opisu močno dopiranih področij. Medtem ko lahko temperaturno odvisnost navideznega oženja prepovedanega pasu v siliciju v večini praktičnih primerov zanemarimo, so v SiGe razmere povsem drugačne, saj efekt gostote stanj in degeneriranost bistveno vplivata na temperaturno odvisnost navideznega oženja prepovedanega pasu že pri sobni temperaturi in koncentracijah primesi 1018-1019 cm"3. Predstavljena je analiza primernosti koncepta navideznega oženja prepovedanega pasu in pripadajočih empiričnih modelov za obravnavo sistema silicij-SiGe pri visoki koncentraciji primesi v širokem tempera-turnem območju. Izkaže se, da lahko pristopu, ki temelji na navideznem oženju prepovedanega pasu, očitamo številne pomanjkljivosti. Razen neprimernosti empiričnih modelov za navidezno oženje prepovedanega pasu izven razmer, za katere so eksplicitno določeni, upoštevanje navideznega oženja prepovedanega pasu v programih za numerično simulacijo polprevodniških elementov onemogoča hkratno pravilno modeliranje koncentracije manjšinskih nosilcev naboja in lege Fermijevega nivoja v degeneriranem polprevodniku. Rezultati kažejo, da je v primeru modeliranja sistema silicij-SiGe od nizkih temperatur do sobne potrebno koncept z navideznim oženjem prepovedanega pasu opustiti in ga nadomestiti s pristopom, ki temelji na ločenem upoštevanju posameznih fizikalnih efektov. Ob upoštevanju specifičnosti sistema silicij-SiGe in vseh pomembnih efektov visoke koncentracije primesi smo določili splošne enačbe za koncentracijo in transport nosilcev. Te so primerne za vključitev efektov visoke koncentracije primesi v sistemu silicij-SiGe v programe za simulacijo polprevodniških elementov. Izpeljali smo analitični izraz za kolektorski tok SiGe HBT ja, ki upošteva degeneriranost večinskih nosilcev v bazi. 61 Informacije MIDEM 27(1997)1, Ljubljana Na podlagi izpeljanih izrazov za kolektorski tok SiGe HBT ja in za navidezno oženje prepovedanega pasu smo analizirali eksperimentalne rezultate navideznega in efektivnega oženja prepovedanega pasu v siliciju in SiGe različnih avtorjev. Pri tem smo upoštevali temperaturno odvisnost prisotnih efektov. Pokazali smo, da so eksperimentalni rezultati, izmerjeni na silicijevih strukturah, konsistentni s tistimi, ki so izmerjeni na SiGe strukturah, ter da so oboji konsistentni s teorijo. To nas je pripeljalo do sklepa, da moremo statistiko nosilcev naboja v sistemu silicij-SiGe opisati s sledečimi fizikalnimi modeli: 8 model za efektivno maso koncentracije vrzeli, 0 model za vsoto dejanskega oženja prepovedanega pasu zaradi visoke koncentracije primesi in premika Fermijevega nivoja zaradi repa gostote stanj večinskih nosilcev ter • model za dejansko oženje prepovedanega pasu zaradi germanija in strukturne napetosti. Določitev niza fizikalnih modelov ob kritičnem upoštevanju razpoložljivih eksperimentalnih rezultatov fo-toluminescenčne spektroskopije in tranzistorskih ka-rakteristik predstavlja najpomembnejši rezultat tega dela. Pri tem smo efektivno maso koncentracije vrzeli opisali kot funkcijo koncentracije vrzeli, temperature in deleža germanija. Vsoto dejanskega oženja prepovedanega pasu (zaradi visoke koncentracije primesi) in premika Fermijevega nivoja (zaradi repa gostote stanj večinskih nosilcev) smo določili kot funkcijo koncentracije primesi. Dejansko oženje prepovedanega pasu zaradi prisotnosti germanija in strukturne napetosti pa smo podali v odvisnosti od deleža germanija. Gre za prvi niz fizikalnih modelov za sistem silicij-SiGe, ki je konsistenten z razpoložljivimi eksperimentalnimi rezultati ter omogoča upoštevanje temperaturne odvisnosti in vpogled v prispevke posameznih efektov. To je obenem največja prednost podanega niza modelov v primerjavi z drugimi obstoječimi pristopi. Naslov doktorske disertacije: Študij pojavov med toplotno obdelavo tankih plasti na osnovi sprotnih meritev električne upornosti Avtor: Andrej Cvelbar Mentor: prof. dr. Lojze Trontelj Univerza v Ljubljani, Fakulteta za elektrotehniko Fizikalno-kemijske spremembe v tankoplastnih strukturah sem med njihovo toplotno obdelavo spremljal s sprotnim merjenjem njihove električne upornosti. Toplotno obdelane vzorce sem po ohladitvi na sobno temperaturo analiziral z metodami AES, RBS, XRD in z merjenjem mase. S kombinacijo navedenih metod sem preiskoval dve vrsti reakcij: oksidacijo tankih plasti in interakcijo med sosednjimi plastmi v dvo- in večplastnih strukturah. Tanke plasti sem nanesel na neprevodne keramične podlage v naprševalniku Sputron. Temperatura teh podlag je bila med naprševanjem pod 200 °C. Sprotno merjenje električne upornosti tankih plasti v tej nalogi sem dosegel z izvirno izvedbo električnih kontaktov, ki zagotavlja zanesljivo in ponovljivo električno povezavo merjene plasti z instrumenti ter hkrati omogoča preprosto menjavanje vzorcev. Na keramični ploščici sta bili pod merjeno plastjo dve debeloplastni blazinici iz zlate paste. Te sem ultrazvočno spojil z zlatimi žičkami, ki vodijo do zlatih blazinic na ležišču vzorca, od tu pa sem iz peči napeljal zlate žice do uporovnega delilnika. Upornost sem meril z ojačevalnikom s fazno sklenjeno zanko. V nalogi sem na osnovi merjenja upornosti študiral: a) oksidacijo tankih plasti Cr, CrN, TiN in večplastne strukture TiN/CrN b) interakcijo med sosednjimi plastmi v dvo- in večplastnih strukturah Ni/Si in Ni/Al. a) Pri študiju oksidacije, ki je potekala v kisiku, sem upornost najprej meril med ogrevanjem sveže plasti s stalno hitrostjo 3 °C/min, od sobne temperature do 800°C. Nato sem skupino vzorcev iste vrste ogreval s hitrostjo 10 °C/min do izbrane temperature, jih nato pri tej temperaturi določen čas ogreval izotermno in sproti meril upornost posameznega vzorca. Posamezni vzorci so bili na izbrani temperaturi različno dolgo, tako da so na površini nastale oksidne plasti z različnimi debelinami. S profilno analizo AES sem določil koncentracijske profile tankih plasti pred toplotno obdelavo in po njej. Rezultate, dobljene z meritvijo upornosti in z metodo AES, sem primerjal z rezultati tehtanja vzorcev. Pri preiskavi oksidacije plasti Cr (d=464 nm) sem uporabil model, s katerim sem oksidacijo opisal kot posledico tanjšanja prevodne plasti. Tako sem iz upornosti izračunal debelino oksida za različne čase izpostave pri temperaturi 700 °C. Z modelom izraču-nana debelina oksidaje bila sorazmeroa času ionskegajedkanja med profilno analizo AES. Ob študiju oksidacije plasti CrN (d=355 nm) pri temperaturi 600 °C take sorazmernosti nisem opazil. Upornost je naraščala relativno hitreje, kot masa oksida. Pojav razlagam z zmanjšanjem razmerja atomskih koncentracij Cr in N plasti CrN med oksidacijo, kar verjetno vodi do povečanja specifične upornosti preostale plasti CrN pod oksidom. Verjetno pa k povečani hitrosti naraščanja upornosti prispeva tudi oksidacija po mejah zrn. Potek oksidacije petplastne strukture TiN/CrN (djiN=55 nm, dcrN=35 nm) sem spremljal pri temperaturi 650 °C. Upornost se je po vstavitvi vzorca v vročo peč najprej povečala za približno 70 krat, nato pa se je upornost s časom spreminjala bolj počasi, a neenakomerno. Pro-filna analiza AES in tehtanje kažeta, da masa oksida v začetni fazi narašča veliko počasneje, kot upornost. Nadalnje raziskave so pokazale, da je vzrok temu neujemanju verjetno oksidacija po mejah zrn. Na to kaže tudi profil koncentracije kisika, ki z repom nizke koncentracije sega v območju, ki še ni oksidirano. Kot časovni odvod upornosti, se je tudi hitrost naraščanja mase med dinamičnim ogrevanjem večkrat močno spremenila. Spremembe so bile bolj izrazite v začetni fazi meritve, ko je oksidacija potekala bolj ob površini vzorca. Pojav je verjetno povezan z različno oksidacijsko odpornostjo plasti TiN in CrN. 62 Informacije MIDEM 27(1997)1, Ljubljana Iz sprotnega merjenja upornosti tanke plasti TiN (d = 290 nm) in ex-situ meritev prirastka mase sledi zaključek, da pri plasti TiN poteka oksidacija najprej po mejah kristalnih zrn, nato pa tudi v notranjosti zrn. Zaradi tega se upornost vzorca v začetku hitro veča, temperaturni koeficient upornosti se spreminja iz pozitivnega proti negativnemu, masa vzorca pa se poveča le za manjši del. Ko oksidirajo meje med zrni vse do podlage, se upornost ustali, masa pa še naprej narašča. Iz meritev upornosti v začetnem delu oksidacije vzorca pri različnih temperaturah sem izračunal aktivacijsko energijo linearne oksidacije v plasti TiN (2,16 eV), ki je nekoliko večja od vrednosti za parabolično oksidacijo, izračunane iz meritev naraščanja mase (1,89 eV). b) Interakcije med sosednjimi plastmi v dvo- in večplastnih strukturah Ni/Si in Ni/Al sem najprej zaznal z merjenjem upornosti med ogrevanjem sveže plasti s stalno hitrostjo 3 °C/min. V izmerjenem poteku temperaturne odvisnosti upornosti sem določil temperature, pri katerih se je nenadno spremenil temperaturni koeficient upornosti. Nato sem isto vrsto svežih vzorcev ogrel z enako hitrostjo do izbranih temperatur in jih ohladil na sobno temperaturo. Da bi ugotovil vzrok za spremembo upornosti, sem te vzorce preiskal z metodami AES, RBS in XRD. V sistemu Ni-Si sem študiral pojave med ogrevanjem šestih različnih dvoplastnih struktur Ni/Si s plastjo Si na površini s povprečnimi sestavami od Nio,7sSio,22 (dNi=53 nm, dsi= 27 nm) do Nio,38Sio,62 (dNi =53 nm, dsi = 157 nm) in dveh enajstplastnih struktur Si/Ni s povprečnima sestavama Nio,56Sio,44 (dNi=25 nm, dsi=30 nm) in Nio,2sSio,72 (dNi=25 nm, dsi =95 nm) v temperaturnem območju od sobne temperature do 500°C. Opaženi temperaturni potek upornosti je odvisen od povprečne sestave vzorca in od debelin posameznih plasti. Spremembe upornosti so povezane z difuzijo elementov, z nastankom novih faz in s spremembami kristalne strukture. V dvoplastnih vzorcih z veliko koncentracijo Ni sta med ogrevanjem nastali fazi NižSi in N¡31 Si 12, v dvoplastnih strukturah z veliko koncentracijo Si pa sem našel fazi Ni2Si in NiSi. V petih dvoplastnih strukturah Ni/Si sem preiskal vpliv prisotnosti kisika v plasteh Si in Ni na potek reakcij med Si, Ni, in O. Temperaturna odvisnost upornosti kaže močan zaviralni vpliv kisika na hitrost reakcije. Rezultati meritev RBS in XRD to opažanje potrjujejo. Potek upornosti in rezultati analiz AES, RBS in XRD so pri enajstplastnih struturah Si/Ni podobni kot pri dvoplastnih stnikturah Ni/Si, le spremembe v upornosti se pojavljajo pri okrog 50 °C nižjih temperaturah kot v dvoplastni strukturi. Za enajstplastno strukturo Si/Ni sem iz temperaturnih odvisnosti upornosti in temperaturnega odvoda upornosti med ogrevanjem z različnimi hitrostmi z metodama Ozavve in Kissingerja izračunal aktivacijsko energijo reakcije, ki poteka v posameznih ekstremih teh odvisnosti. Interakcije med sosednjimi plastmi v sistemu Ni-AI sem študiral, ob ogrevanju dvoplastne strukture Ni/Al (s plastjo Al na površini, dNi =195 nm, dAi = 190 nm) s povprečno sestavo Nio,6oAlo,40 in dveh desetplastnih struktur Al/Ni (plast Ni na površini) s povprečnima sestavama Nio.soAlo.so (dAi=38 nm, dNi=25 nm) in Nio.75Alo,25 (dAi=13 nm, dNi=25 nm) od sobne temperature do 800 °C. Za opazovanje sprememb v teh tankoplastnih strukturah sem poleg temperaturne odvisnosti upornosti uporabil tudi njen temperaturni odvod. Do temperature 600 °C so meritve dvoplastnih struktur, dobljene z RBS, kazale po globini nehomogeno sestavo, meritve XRD pa so zaznale več faz hkrati. Temperaturna odvisnost upornosti desetplastnih struktur Al/Ni se je razlikovala od rezultatov pri dvoplastnem vzorcu Ni/Al. Vzrok za to so bile velike razlike v debelinah posameznih plasti. V desetplastni strukturi Al/Ni s povprečno sestavo Nio.soAlo.so je zaporedje nastajanja faz podobno kot v dvoplastni strukturi Ni/Al: NiAl3, Ni2AI3, NiAl in NisAl. V obeh desetplastnih strukturah je bila tudi pri visokih temperaturah prisotna faza NLAI3. Naslov doktorske disertacije: Infrardeča spektroskopija tankih plasti Avtor: Marta Klanjšek Gunde Mentor: prof. dr. Martin Čopič Univerza v Ljubljani, Fakulteta za matematiko in fiziko Pri analizi infrardečih spektrov navadno napravimo vrsto poenostavitev, predvsem v zvezi s potekom žarkov pri meritvi. Za spektre nekaterih vzorcev so take poenostavitve neupravičene. Napake pri tako poenostavljeni analizi so lahko tudi tolikšne, da so rezultati nesmiselni. Tipični predstavniki materialov s "problematičnimi" infrardečimi spektri se uporabljajo v mikroelektronski industriji. V disertaciji je prikazana analiza infrardečih spektrov v dosledno uporabo principov optike in klasične elektromagnetne teorije. Metoda temelji na vsoti vseh delnih žarkov, ki se zaporedno odbijajo po vzorcu z zrcalnimi ali pa s hrapavimi mejami. Zmanjšanje intenzitete žarkov v zrcalni smeri zaradi razpršenega loma in odboja na hrapavih mejah vzorca je upoštevano s funkcijama hrapavosti za prehod take meje in za odboj na njej. Spektra prepustnosti in odbojnosti vzorca sta s pomočjo vsote vseh delnih žarkov izražena s kompleksnim lomnim količnikom (optičnima konstan-tama) snovi. Tako dobljen sistem enačb ni enostavno rešljiv, kar je poglaviti razlog za uporabo različnih poenostavitev, ki prevladujejo v literaturi. Nekatere poenostavitve so v infrardečem delu spektra nedo-pustne, zato pri izračunu optičnih konstant ne uporabljam nikakršnih aproksimacij. Analiza izračunanih optičnih konstant zahteva premišljeno uporabo modela za dielektrično funkcijo. Spektralno odvisnost kompleksnega lomnega količnika snovi pojasnjuje teorija optične disperzije. Obliko vrhov v spektrih energijskih izgub za transverzalnooptične in longitudinalnooptične fonone je obravnavana kot vsota Cauchy-Lorentzove in Gaussove oblike. Spektralni potek optičnih konstant je analiziran za silicijev oksid in monokristalni silicij. Prvi je tipičen predstavnik amorfnih anorganskih snovi z močnimi absorpcijami v infrardečem delu spektra. Za monokristalni silicij je poka-zano, da s klasičnimi principi ni mogoče pojasniti večfononskih procesov. 63 Informacije MIDEM 27(1997)1, Ljubljana Z izračunom in analizo optičnih konstant natančno določimo vibracijske lastnosti snovi. Vendar je tak način v praksi preveč zamuden, zato uporabljamo približne metode. V disertaciji so razčlenjeni nekateri problemi, ki nastanejo pri tako poenostavljeni analizi. Efekti, ki se naložijo na odgovor snovi na zunanjo elektromagnetno motnjo, so splet dveh pojavov. Prvi je interferenca na tanki plasti in drugi padec intenzitete žarka zaradi prehoda obeh mej vzorca. Včasih je mogoče katerega od njiju dovolj dobro upoštevati že s preprostimi metodami korekcije bazne linije in odstranitve interferenc. Te metode so razmeroma uspešne, kadar so optične konstante snovi v bližini nihanja frekvenčno neodvisne. Te zahteve izpolnjujejo šibka nihanja z dolgoživimi fononskimi stanji. Izrazita spektralna odvisnost optičnih konstant na širokem frekvenčnem območju situacijo močno zaplete. Preproste korekcijske metode so neuspešne, konvencionalna analiza spektrov pa je bolj ali manj približna. Položaj postane kritičen, kadar so naše zahteve velike. Tak izziv predstavljajo vzorci iz mikroelektronske industrje. Z uporabo optičnih konstant in ob poznavanju efektivnega naboja atomov, ki sodelujejo pri danem načinu nihanja, je mogoče izračunati vsebnost strukturnih enot, ki povzročajo to nihanje v snovi. Ta princip je uporabljen za izboljšanje natančnosti pri določanju vsebnosti intersticijskega kisika v enostransko poliranih rezinah monokristalnega silicija. Pri takih vzorcih povzroča uporaba običajnih metod kvantitativne analize zelo velike napake. Najosnovnejša zahteva infrardeče analize je določitev vibracijskih frekvenc. V disertaciji je pokazano, da so te frekvence le približno povezane s položaji vrhov v izmerjenem spektru prepustnosti tanke plasti. Položaji, ki jih izmerimo, so odvisni od debeline plasti, od oscilatorske moči nihanja, življenjskega časa fononov in od dielek-tričnosti okolice, pa tudi od optičnih konstant uporabljenega substrata. Odvisnost od debeline je še posebej izrazita pri zelo tankih plasteh. Pojav je v disertaciji sistematično analiziran z uporabo natančnega popisa razširjanja žarkov po vzorcu in z uporabo modela za dielektrično funkcijo snovi. Teoretično napovedana odvisnost položaja absorpcijskega vrha od debeline tanke plasti je eksperimentalno potrjena z merjenji prepustnosti različno debelih tankih plasti silicijevega nitrida in silicijevega oksida. Z ustrezno upo-rabo prikazane metode je mogoče določiti homogenost nanosa in detektirati majhne strukturne spremembe v plasti. Naslov doktorske disertacije: Odprta struktura robotskega krmilnika Avtor: Martin Terbuc Mentor: red. prof. dr. Karel Jezernik Komentor: red. prof. dr. Tadej Bajd, Univerza v Ljubljani, Fakulteta za elektrotehniko Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko Glavni predmet disertacije je razvoj robotskega krmilnika. Znano je, da novih konceptov avtomatskega vodenja, pridobljenih z raziskovalnim delom, ni dovolj preizkusiti samo s simulacijskimi metodami, ampak so potrebni tudi preizkusi na konkretnih mehanizmih. Uporaba v tem delu opisanega odprtega robotskega krmilnika omogoča prehod od simulacij k eksperi-mentu. Podobno kakor sestavljanje blokov v simulacij-skih paketih je tudi tukaj omogočeno, da uporabnik vključi svoj algoritem kot blok v obstoječo strukturo. Rezultate lahko spremlja s sprotnim prikazom želenih spremenljivk sistema kakor tudi z možnostjo shranje-vanja le-teh in kasnejšo obdelavo. V delu so sistematsko opisane naloge robotskega krmilnika. Med posameznimi nalogami je potrebno izmenjavati podatke. Ker je potrebno izvajanje v stro-gem realnem času, je uporabljeno lokalno odločanje o vsebini paketov. Prav tako so določene komunikacijske poveže - poti, ki so postavljene tako, da omogočajo čim hitrejši prenos. Zaradi kompleksnosti nalog je uporabljen večprocesorski sistem z optimalno razporeditvijo nalog. Opisi so splošni, neodvisni od vrste robota, rezultati pa so prikazani na primeru krmilnikov za direktno gnanega robota in za SCARA mehanizem. Naslov doktorske disertacije: Priloga k raziskavi identifikacije plovil v akustičnem področju Avtor:Dario Matika Mentor: red. prof. dr. Dali Oonlagič Komentor: red. prof. dr. Ljubomir Kuljača Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko U dizertaciji je predložen algoritam identifikacije plovnog objekta kao pomorskog cilja i proveden postu-pak verifikacije sustava za identifikaciju cilja u akustičkom području zamjetljivosti plovnog objekta na osnovi rezultata eksperimentalnih istraživanja. Odreden je funkcionalni simulacijski model nisko-frekventnog i visokofrekventnog akustičkog kanala; definirana su tehnička ograničenja sustava za identifikaciju cilja; definiran je osnovni matematički model i izvršen izbor optimalne metode obrade akustičkog signala; provedena je analiza rezultata istraživanja spektra šuma plovnog objekta i šuma mora; odredeni su reprezentativni spektri šuma plovnog objekta i šuma mora; razraden je postupak eksperimen-talnog istraživanja; definiran je mjerni sustav i metode provjere sustava u domeni otkrivanja, lokacije i klasifikacije plovnog objekta kao pomorskog cilja. Dizertacija predstavlja doprinos istraživanju akustičkih značajki podmorja i plovnih objekata s ciljem odredi-vanja algoritma rada i sinteze sustava za pračenje i identifikaciju prisutnosti nadvodnih i podvodnih plovnih objekata na zadanoj poziciji ili trajektoriji akvatorija. 64 Informacije MIDEM 27(1997)1, Ljubljana VESTI - NEWS News from Solid State Technology Januar 1997 Comparisons of world chip manufacturing costs Japanese semiconductor giant NEC has evaluated manufacturing operating costs in various countries. NEC researcher K Shimakura discussed results at the fifth International Symposium on Semiconductor Manufacturing (ISSM '96), held ¡nearly October in Tokyo. The accompanying table compares four key regions in which NEC maintains operations, and indicates that Japan remains the most expensive nation in which to operate a fab. The US figures are derived from NEC's Roseville, CA, facility, the European ones from the firm's facility in Scotland, and the Asian from China. Noteworthy points include the amazingly high land cost in Japan - 10 times that of the US and 20 times that of Scotland - and the observation that Chinese workers are paid one-eighth to one-twelfth as much as Japanese and American counterparts. Fab operating costs in four regions Japan USA (CA) EU (Scotland) Asia (PRC) Electricity 1 0.6 0.5 0.2 City water 1 0.3 1.3 0.03 Nitrogen gas 1 0,4 0.3 0.7 Land 1 0.1 0.05 0.25* Labor/ engineer 1 1.2 0.6 0.1 Operator 1 0.8 0.5 0.1 Engineering resources Suff.# Tight Suff. Suff. Regular annual working hours 1870 2080 2030 2000 Public holidays 16 9 8 3 Tax rate 50% 44% 33% 33% * leased # sufficient Source: NEC. ISSM '96 World's first 1-Gbit DRAM at Electrónica 96 At the world's biggest electronic components show the 17th International Trade Fair for Components and Assemblies in Electronics (Electrónica 96), in Munich, Germany, Samsung Semiconductor, a division of Samsung Electronics Co. of Seoul, South Korea, officially announced the successful development of a fully working die of a 1-Gbit DRAM. According to a company spokesman, the new device is working at room temperature and is the first memory of its kind in the world containing 1,074,000,000 fully working cells. With a total size of 569.5 mm2, the chip is just a first approach, its design unsuitable for mass production. The device is made using 0.18-micron design rules and CMOS process technology. In addition to its high density the DRAM has a power-conserving, low voltage design that accepts voltage sources ranging from 1.8 to 2.0 V The device achieves an operating speed of 30 nsec by applying multibank synchronous structures in its design. In order to improve the yield ratios in mass manufacturing, Samsung utilized a new redundancy technology. All in all, Samsung invested 220 billion won (roughly US $272 million) in the project, employing 120 key researchers for two years and five months. The new device should be commercially available around the turn of the century with full-scale production forecast for around 2005. Consolidated to four days, from five at the previous show Electrónica 96 surpassed expectations, with 84,000 visitors and 2860 companies exhibiting. Product group categories were increased from five to eight, making the fair more function- and application-oriented. Electrónica 98 - the fair takes place biennially - will be held at Munich's new trade-fair center which will offer more space and better infrastructure than the current premises. Prospects for SEMICON/Europa The European semiconductor market, estimated at about $28 billion in 1995, is expected to double by the end of the decade, and this cheerful outlook is reflected in the bookings for Semicon/ Europa. According to SEMI,1380 companies - a record - will be exhibiting at the 22nd annual Semicon/Europa exposition, which will take place on April 15-17. The show will feature a number o technical, educational, and standards meetings, including a forum on fab productivity and the challenges of managing fabs in Europe. A conference co-hosted by SGS-Thomson and TEC, entitled "300 mm Symposium: Economic and Strategic Analysis of Conversion," will analyze the economic and strategic factors involved in the transition from 200- to 300-mm production. The Third Annual Microelectronics and the 65 Informacije MIDEM 27(1997)1, Ljubljana Environment Forum will focus on the measures used by leading equipment suppliers and manufacturers of waste abatement devices to assist semiconductor manufacturers in the minimization of undesirable waste streams. SEMI will also sponsor a technical conference, "Three Key Issues for IC Fabrication." This will consist of "Trends in Dry Etching," "Inline Metrology" and "Advanced Cleanroom Concepts." News from Solid State Technology February 1997 Seven 300-mm lines planed '98-'99 Revised figures from SEMI's 300mm Wafer Initiative indicate that "There is a better than 70% chance that in the 1998-99 time frame, we will see seven 300-mm pilot lines (500-1000 wafer starts/month)," said Initiative director George Lee. "A total of eight medlum(10,000 wafers/month) and high-volume (20,000 wafers/month) facilities are presently scheduled for startup in 2000." Lee said that equipment companies are footing almost the entire cost of tool development, after finding IC makers unwilling to contribute. He added that beta 300-mm tools are being readied for placement by late 1997 or early 1998. Tl to build 300 mm fab in Italy Texas Instruments (Tl) has signed a four year $1.2 billion agreement with the Italian government to build a second wafer fab at Avezzano, where Tl already has a DRAM facility. Under the agreement, Tl will construct a manufacturing plant and develop capabilities for semiconductor production on 300-mm wafers, with geometries of 0.28 |im and below. Tl will expand Its R&D facilities and will also increase its existing DRAM production capacity as market conditions require. Reports indicate that Tl will receive 540 billion lire ($350 million) in assistance from the Italian government; the plant Is expected to come on-line in 1999, with 750 employees. M+W to build Dresden fab for AMD Melssner + Wurst GmbH & Co. (M+W), a subsidiary of Jenoptic AG, of Jena, Germany, will act as general contractor on a new microprocessor manufacturing facility - Fab 30 - to be built in Dresden by Advanced Micro Devices Inc. (AMD), of Sunnyvale, CA. The order amounts to some DM450 million ($290 million), taking M+W's order book over DM1 billion for the first time. The fab will represent an investment by AMD of approximately $1.9 billion when completed and fully equipped. M+W constructed AMD's Fab 25 in Austin and is currently building a facility in Eugene, OR, for Hyundai. ASML and IMEC to work on DUV lithography ASM Lithography (ASML), the Netherlands-based supplier of photolithography equipment, and the Belgian R&D organization IMEC have launched a cooperative R&D program in 193-nm wavelength deep UV lithography. The aim is to develop advanced optical processes capable of producing linewidths as small as 0.13 |im, which will be needed for the next generation of high-density devices, including 4-Gbit DRAMs and several generations of microprocessors beyond 686. Leading semiconductor manufacturers are invited to participate in the program, which is based at IMEC's Class 1 cleanroom facility in Leuven, Belgium. News from European Semiconductor February 1997 SEMI takes reins on 300 mm standards The Japanese 300 mm group, J300, has decided to allow SEMI to take the lead in developing standards for 300 mm, alleviating fears that different standards would evolve east and west. The decision emerged at the SEMICON Japan conference in Tokyo. The Japanese Selete consortium announced that it has completed construction of its 300 mm cleanroom and a vertical furnace is already in place. It is expected that about 12 tools will be installed by March and 35 by September. One billion transistors on a chip by 2011 Intel president, Andy Grove,said that Intel is on target to provide processors with more than one billion transistors by the year 2011, running at 10 GHz. The Pentium Pro has only 5.5 million transistors and runs at 300 MHz. But Grove warned that the costs of producing each new generation of chips is spiralling. In 1973 it cost only $3 million to build a fab, today the cost is several billion. It is hard to see how demand can continue to increase, at the exponential rates required, to offset the costs. Single electron memory research update Researchers from Hitachi Cambridge Laboratory (HCL) and the Microelectronics Research Centre (MRC) of the University's Cavendish laboratory have teamed up with laboratories from France, Germany Greece and the UK in work on single electronic semiconductor memories. Fabrication and Architecture of Single Electron Memories (FASEM)developed over three years from January 1997 will complement work Hitachi is doing into Single Electron Logic under Japanese MITI sponsorship until March 2001. The work of Russian scientist KK Likharev has made single electronics a strong contender to develop practical quantum effect devices. HCL and MRC collaboration announced the first device in 1993: effects in SOI in 1994 and gold nanodot transistors operating at 77k. Hitachi will lead the memory architecture aspect of FASEM while other partners concentrate on single electron tunnelling concepts, fabrication, reliability and coupling to CMOS devices. 66 Informacije MIDEM 27(1997)1, Ljubljana News from AMS President Mandela awards SAMES SAM ES, South African Micro-Electronic Systems Ltd., currently the only commercial manufacturer of integrated circuits in South Africa and the largest in the Southern Hemisphere, has been presented with the most esteemed government award to date: "President's Award Merit Certificate for Export Achievement" The award, signed by President Mandela, was presented by Thabo Mbeke, Deputy President - the designated successor of Mr. Mandela - and by Alec Erwin, MP of Trade and Industry to SAMES Management and Horst Gebert, President and CEO of Austria Mikro Systeme International AG, at a ceremony at the Sandton Convention Centre in Johannesburg. In April 1995 Austria Mikro Systeme has taken an interest in SAMES -currently one of Austria's largest single foreign investments in South Africa. The reasons for this award were the extraordinary high exports of 70% to industrial countries, the modern fabrication methods, innovations, new developments and the highest quality of the products as well as the future potentials of the company. SAMES is a leader in the area of current metering, identification, security and telephone ICs. Horst Gebert: "This award represents a new accomplishment and milestone in the history of the company: Proof that the transformation of SAMES into becoming an ASIC vendor is being successfully implemented and is finding international recognition." This text is available on the internet address: http://www.ams.co.at Schloß Premstätten A-8141 Unterpremstätten, Austria Fax: +43 (03136) 52 501, 53 650 Tel: +43 (03136) 500 Email: ¡nfo@ams.co.at http: //www.ams.co.at OBVESTILO IZOBRAŽEVANJE O VAKUUMSKI TEHNIKI - 1997 Vse uporabnike vakuumske tehnike obveščamo, da so v letu 1997 predvideni naslednji strokovno izobraževalni tečaji: VZDRŽEVANJE VAKUUMSKIH NAPRAV 20.-21 .oktober 1997 Pod tem naslovom se obravnava predvsem tematika, ki jo srečujemo v tehniki grobega vakuuma. To je: delovanje, vzdrževanje in popravila rotacijskih črpalk, pregled in uporaba različnih črpalk, ventilov in drugih elementov, meritve vakuuma, hermetičnost in odkrivanje netesnosti v vakuumskih sistemih, materiali za popravila, tehnike čiščenja in spajanja, skupno 20 šolskih ur, od tega tretjina praktičnih prikazov in vaj. Cena tečaja je 32.000 SIT. Vsak tečajnik bo prejel tudi brošuro "Osnove vakuumske tehnike za vzdrževalce naprav" in potrdilo o opravljenem tečaju. OSNOVE VAKUUMSKE TEHNIKE 12.-14. maj ter 24.-26. november 1997 Pri tem tečaju je večji poudarek na teoretičnem razumevanju snovi. Obravnava so vsa že prej omenjena področja in poleg tega še: pomen in razvoj vakuumske tehnike, fizikalne osnove, črpalke za visoki vakuum, tankoplastne in druge vakuumske tehnologije, čisti postopki, analize površin ter doziranje, čiščenje in preiska- ve plinov - skupno 26 šolskih ur z vajami in ogledom inštituta. Cena tečaja je 32.000 SIT. Udeleženci prejmejo zbornik predavanj "Osnove vakuumske tehnike" in potrdilo o opravljenem tečaju. Oba tečaja se pričneta ob 8.00 uri v knjižnici Inštituta za elektroniko in vakuumsko tehniko, Teslova 30, Ljubljana. Prosimo interesente, da se informativno javijo čimprej, za dokončno potrdilo udeležbe pa velja kopija položnice o plačilu - najkasneje tri dni pred pričetkom tečaja na naslov: Društvo za vakuumsko tehniko Slovenije, Teslova 30, 1111 Ljubljana (št. žiro računa: 50101-678-52240). Prijave sprejema organizacijski odbor (Koller, Spruk, Mozetič, Nemanič), ki daje tudi vse dodatne informacije (tel. 061 177-66-00, 126-45-92). V primeru premajhnega števila kandidatov tečaj odpade, nteresenti bodo povabljeni na naslednji rok. Tečaj "Osnove vakuumske tehnike za srednješolske predavatelje" bo predvidoma 10. in 11. novembra 1997 in bo posebej razpisan v informativnih glasilih za šolstvo. Namenjen je popestritvi pouka fizike in tehničnih znanj na srednjih in višjih šolah. 67 Informacije MIDEM 27(1997)1, Ljubljana KOLEDAR PRIREDITEV 1997 MAY 04.05.-09.05.1997 International Symposium on Low Temperature Electronics and High Temperature Superconductivity Montreal, Canada Info.: + 32 16 281328 06.05.-08.05.1997 Semicon - Test,Assembly & Packaging Singapore Info.: + 65 339 6361 10.05. - 13.05.1997 IMAPS/NATO ARW Electronic Packaging for High Reliability, Low Cost Electronics Bled, Slovenia Info : +386 (0)61 312 898 12.05.-14.05.1997 2nd International Symposium on Plasma Processing -Induced Damage Monterey, CA, USA Info.: + 1 408 737-0767 13.05.-15.05.1997 Technology Transfer '97 Birmingham, UK Info.: + 44 181 302 8585 14.05.-16.05.1997 The 11th European Conference and Exibition Venice, Italy Info.: + 1 800 535 4746 18.05,- 21.05.1997 47th Electronic Components and Technology Conference San Jose, CA, USA Info.: + 1 864 963 6621 19.05.-21.05.1997 2nd International Conference on Low Dimensional Structures and Devices Lisbon, Portugal Info.: + 44 1865 843848 19.05.-23.05.1997 MIPRO 20th International Conference Opatija, Hrvatska Info.: + 385 51 211 051 19.05.-22.05.1997 Failure and Yield Analysis Seminar Glasgow, Scotland info.: + 1 415 941 8272 26.05.-29.05.1997 9th International Symposium on Power Semiconductors Devices and IC's Weimar, Germany Info.: + 49 89 312 6645 28.05.-30.05.1997 Semicon Kansai 97 and FPD Expo Japan Osaka, Japan Info.: + 1 415 940 6918 JUNE 10.06.-12.06.1997 1997 Symposium on VLSI Technology Kyoto, Japan Info.: +1 301 527 0900 16.06.-20.06.1997 European Materials Research Society Spring Meeting Strasbourg, France Info.: + 33 388-106343 23.06.-27.06.1997 International Symposium on Microelectronics and Assembly Info.: + 1 360 676 3290 JULY ICNF '97 Noise in Physical Systems and 1/f Fluctuations Leuven, Belgium Info.: + 32 16 290 010 SEPTEMBER 08.09.-12.09.1997 Semiconductor Technology Seminar Glasgow, Scotland Info.: + 1 415 941 8272 15.09.-18.09.1997 Failure&Yield Analysis Seminar Glasgow, Scotland Info.: +1 415 941 8272 21.09.-23.09.1997 3rd International Workshop on Thermal Investigations of IC's and Microstructures Cannes, France Info.: E-mail '.Bernard.Courtois@imag.fr 21.09.-25.09.1997 Electrical Overstress/Electrostatic Discharge Symposium Santa Clara,CA,USA Info.: +1 315 339 6937 68