UDK 621,3:(53+54+621 +66)(05)(497.1)=00 ISSN 0352-9045 Strokovno društvo za mikroelektroniko elektronske sestavne dele in materiale m Časopis za mikroelektroniko, elektronske sestavne dele in materiale Časopis za mikroelektroniku, elektronske sastavne dijelove i materijale Journal of Microelectronics, Electronic Components and Materials INFORMACIJE MIDEM, LETNIK 23, ST. 1(65), LJUBLJANA, marec 1993 INFORMACIJE MIDEM 1 01993 INFORMACIJE MIDEM LETNIK 23, ŠT. 1(65), LJUBLJANA, MAREC 1992 INFORMACIJE MIDEM GODINA 23, BR. 1(65), LJUBLJANA, MART 1992 INFORMACIJE MIDEM VOLUME 23, NO. 1(65), LJUBLJANA, MARCH 1992 Izdaja trimesečno (marec, junij, september, december) Strokovno društvo za mikroelektroniko, elektronske sestavne dele in materiale. Izdaja tromjesečno (mart, jun, septembar, decembar) Stručno društvo za mikroelektroniku, elektronske sastavne dijelove i materiale. Published quarterly (march, june, september, december) by Society for Microelectronics, Electronic Components and Materials - MIDEM. Glavni in odgovorni urednik Glavni i odgovorni urednik Editor in Chief Tehnični urednik Tehnički urednik Executive Editor Uredniški odbor Redakcioni odbor Publishing Council Časopisni svet Izdavački savet Publishing Council Naslov uredništva Adresa redakcije Headquarters Iztok Šorli, dipl.ing., MIKROIKS d.o.o., Ljubljana Janko Čolnar, MIDEM, Ljubljana Dr.Rudi Babič, dipl.ing., Tehniška fakulteta Maribor Dr.Rudi Ročak, dipl.ing., MIKROIKS d.o.o., Ljubljana mag.MIlan Slokan, dipl.Ing., MIDEM, Ljubljana Zlatko Bele, dipl.ing., MIKROIKS d.o.o., Ljubljana Miroslav Turina, dipl.ing., Zagreb mag.Meta Limpel, dipl.ing., MIDEM, Ljubljana Miloš Kogovšek, dipl.ing., Iskra INDOK d.o.o., Ljubljana Dr.Slavko Amon, dipl.ing., Fakulteta za elektrotehniko in računalništvo, Ljubljana, PREDSEDNIK Dr.Marko Hrovat, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof.Dr.Zvonko Fazarinc, dipl.ing., CIS, Stanford University, Stanford, USA Dr.Marija Kosec, dipl.ing., Inštitut Jožef Stefan, Ljubljana RNDr. DrSc. Radomir Kužel, Charles University, Prague Prof.dr.Drago Kolar, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof.dr.Stane Pejovnik, dipl.ing., Kemijski inštitut Boris Kidrič, Ljubljana Prof.dr.Janez Trontelj, dipl.ing., Fakulteta za elektrotehniko in računalništvo, Ljubljana Dr.AntonZalar, dipl.ing., IEVT, Ljubljana Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 61000 Ljubljana, Slovenija (0)61 - 316 886 Letna naročnina znaša 6200,00 SIT, cena posamezne številke je 1550,00 SIT, Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Godišnja pretplata iznosi 6200,00 SIT, cijena pojedinog broja je 1550,00 SIT. Članovi I sponzor! MIDEM primaju Informacije MIDEM besplatno. Annual subscription rate is DEM 100, separate issue Is DEM 25. MIDEM members and Society sponsors receive Informacije MIDEM for free, Znanstveni svet za tehnične vede I je podal pozitivno mnenje o časopisu kot znanstveno sfrokovni reviji za mikroelektroniko, elektronske sestavne dele in materiale. Izdajo revije sofinanci rajo Ministrstvo za znanost in tehnologijo in sponzorji društva. Scientific Council for Technical Sciences of Slovene Ministry of Science and Technology has recognized Informacije MIDEM as scientific Journal for microelectronics, electronic components and materials. Publishing of the Journal is financed by Slovene Ministry of Science and Technology and by Society sponsors. Znanstveno strokovne prispevke objavljene v Informacijah MIDEM zajemamo v: * domačo bazo podatkov ISKRA SAIDC-ei, kakor tudi * v tujo bazo podatkov INSPEC Scientific and professional papers published in Informacije MIDEM are assessed into: * domestic data base ISKRA SAIDC-el and * foreign data base INSPEC Po mnenju Ministrstva za informiranje št.23/300-92 šteje glasilo Informacije MIDEM med proizvode informativnega značaja, za katere se plačuje davek od prometa proizvodov po stopnji 5 %. Grafična priprava in tisk BIRO M, Ljubljana Grafičkaprlprema ištampa Printed by Naklada Tiraž Circulation 1000 izvodov 1000 primjeraka 1000 issues U DK 621.3 :(53+54+621 +66),ISSN0352-9045 Informacije MIDEM 23(1993)1,Ljubljana R.Ročak: Sodelovanja društva MIDEM s sorodnimi društvi 2 R.Ročak: Collaboration of Society MIDEM with similar Societies ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS Zvon ko Fazarinc: Efekti drugega reda v bipolarnih tranzistorjih 3 Zvonko Fazarinc: Second Order Effects in Junction Bipolar Transistors D.Resnlk, U.Aljančič, D.Vrtačnlk, S.Amon: Gladkost anizotropno jedkane površine (100) silicija 10 D.Resnik, U.Aljančič, D.Vrlačnik, S.Amon: Surface Smoothness of Anisotropically Etched (100) Silicon A.Zalar, F.Pimentel, S.Hofman, D.Kohl, P.Panjan, B.Praček: Študij termične tvorbe sillcidov v večplastni strukturi Ni/Cr/Si 17 A.Zalar, F.Pimentel, S.Hofman, D.Kohl, P.Panjan, B.Praček: A Study of Thermally Formed Silicides in a Ni/Cr/Si Multilayer Structure A.Živič, Z.Živič: Rdeča feritna tehnologija - sanje ali resničnost 21 A.Živič, Z.Živič: Red Ferrite Technology - Dream or Reality L.Koller, M.Jenko, E.Perman: Korozijska obstojnost vakuumsko kromanega železa za miniaturne hermetične releje 27 L.Koller, M.Jenko, E.Perman: Corrosion Resistance of Vacuum Chromized Iron Parts for Hermetical Relays M.Valant, D.Suvorov, D.Kolar: Mikrovalovni keramični materiali 32 M.Valant, D.Suvorov, D.Kolar: Microwave Ceramic Materials J.Pirš, B.Marin, S.Pirš: Prikazovalnik na osnovi feroelektrlčnlh tekočih kristalov in polimernih gelov 38 J.Pirš, B.Marin, S.Pirš: Ferroelectric Liquid Cristal-polymsr gel Displays Janez Benda: Metaloksldni (ZnO) varistorji 43 Janez Benda: Metal Oxide (ZnO) Varistors PRIKAZI DOGODKOV, DEJAVNOSTI ČLANOV MIDEM IN DRUGIH INSTITUCIJ REPRESENT OF EVENTS, ACTIVITIES OF MIDEM MEMBERS AND OTHER INSTI TUTIONS Miloš Kogovšek: Industrijska lastnina 50 Miloš Kogovšek: Industrial Ownership Marija Trontelj: Nacionalni center za mikrostrukturrio in površinsko analizo 52 Marija Trontelj: National Center for Microstructural and Surface Analysis Zlatko Bele: Testni center MIKROIKS 53 Zlatko Bele: MIKROIKS Test Center KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA CONFERENCES, COLLOQUYUMS, SEMINARS, REPORTS M.Kosec: ICECM '92 56 M.Kosec: ICECM'92 M.Hrovat: Konferenca MICROTECH'93 57 M.Hrovat: MICROTECH'93 Conference PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE SIQ - Slovenski institut za kakovost in meroslovje 61 SIQ - Slovenian Institute of Quality and Metrology Prikazi magistrskih del, leto 1992 65 Ms. Abstracts, Year 1992 VESTI 71 NEWS Vabilo za sodelovanje, MIEL-SD 93 76 Announcement and Call for Papers, MIEL-SD 93 TERMINOLOŠKI STANDARDI 79 TERMINOLOGICAL STANDARDS MIDEM prijavnica MIDEM Registration Form Slika na naslovnici: Slovenski institut za kakovost in meroslovje - Detalj preskusa zdržljivosti zagonskih kondenzatorjev Front page: Slovenian Institute of Quality and Metrology - Detail of Endurance Test of a.c. Motor Capacitors Collaboration of Society MIDEM with similar Societies In compliance with its general activity orientation the Midem society has begun to form connections with similar societies in Slovenia and Europe. In this sense traditional liaison of Midem with the Association of Electrical engineering of Slovenia EZS is to be mentioned, the Midem society being one of the most important organisational constituent parts of this Association. The liaison is to be formally confirmed when the new Society Rules will have been adopted. Our Jurnal readers have had many opportunities to notice Midem's publishing of Slovene vacuumist professional society items of information, many of members being affiliated to both societies. Spheres of interest will become even more connected within the field of Electronic components and technology under the auspices of Ministry of Science and Technology of Republic of Slovenia, even if no formal connection exists between the two. A suggestion for collaboration has been passed by Czech and Slovakian Section ISHM on the initiative of one Czech member of Midem. Negotiations are being in course and it is hoped that it will be first foreign country liaison. On the territory of Croatia the function of Croate ETAN society has been to a large extent taken over by the Society KOREMA. The two presidents have reached an agreement on closer collaboration, mutual organisation of one of the future conferences MIEL-SD being one of such tasks. This agreement is to be formally confirmed by respective Societies' bodies. In the latest copy of Journal you will get acquainted with more detail about Society KOREMA having set forth similar aims as MIDEM, maybe on a larger scale but with the same tendency for internationalisation. In Slovenia there are a lot of MIDEM members being at the same time also the members of Slovenian Section IEEE. It would be advisable to pay some more of our attention also to this section activity in our future Journal copy. All MIDEM liasions that have been mentioned above could be of benefit to all its members therefore I summon all those who can contribute to them in any possible way to contact me and thus help me realise our common scope. MIDEM PRESIDENT Dr. Rudolf Rocak 2 UDK 621.3:(53-f54+621 +66), ISSN0352-9045 Informacije MIDEM 23(1993)1, Ljubljana SECOND-ORDER EFFECTS IN JUNCTION BIPOLAR TRANSISTORS Zvonko Fazarinc Keywords: semiconductors, bipolar transistors, bipolar junction transistors, transistor models, computer analysis, Ebers-Moll model, Gummel-Poon model, Early effect, Kirk effect, C language Abstract: The Gummel-Poon model of bipolar transistor is derived with inclusion of Early and Kirk effects. / Efekti drugega reda v bipolarnih tranzistorjih Ključne besede: polprevodniki, transistorji bipolarni, BJTtransistorji bipolarni junction, modeli transistorjev, analiza računalniška, Ebers-Moll model, Gummel-Poon model, Early efekt, Kirk efekt, C jezik Povzetek: V prispevku je izveden Gummel-Poon model bipolarnega tranzistorja, ki vključuje Earlyjev in Kirkov efekt. 1. Introduction The first model of the bipolar junction transistor (BJT) was published in 1954 by J.L.Moll and J.J.Ebers from Bell Laboratories /1/. The model quickly became the workhorse of the industry because it captured the state of the art of the transistor technology of that time in a most elegant way. The model was simple and sufficiently accurate to allow prediction of transistor circuit behaviour based on a few physical parameters. The transport of charges in a semiconductor is governed by diffusion, drift and recombination. The early transistors had relatively long bases and low current gains, both resulting in a considerable recombination in the base. High level injection and other phenomena associated with drift were hardly a problem of that period. The Ebers-Moll model was based on the diffusion and recombination mechanisms only, and was as such in tune with the technological state of the 50-s and 60-s. The semiconductor technology was making big strides in the subsequent decades and the BJT bases have shrunk down to below a micrometer. Due to this and in conjunction with constant improvement of material purity the recombination rates were suppressed to theoretical minima. At the same time the quest for ever higher current gains called for high base resistivities and the high-level injection became the limiting mechanism of current gain. It was until 1970, that H.K.Gummel and H.C.Poon also of Bell laboratories published their Integral Charge Control model of the BJT /2/ which captured the high-level injection mechanism as part of its physical basis. Again the model was a reflection of the state of tehnology. Gummel and Poon have correctly concluded that recombination played a minor role in physical behaviour of transistors and have ignored it in favor of including the drift mechanism in addition to the diffusion. The base current in the Ebers-Moll model was a natural consequence of recombination in the base. With no recombination considered the Gummel-Poon model requires a separate theory for the base current. Both models, the Ebers-Moll and Gummel-Poon must treat the Early effect /3/, the Kirk effect /3/, the emitter crowding /5/ and other second-order effects as separate problems. This paper integrates the Early and the Kirk effect into the Gummel-Poon model derivation and thereby avoids the present conflict of first deriving the Gummel-Poon model under the assumption of a fixed base length, and then adding the Early and Kirk effect which both arise from a variation of the base length. 2. Definition of Model Parameters We arbitrarily choose an NPN bipolar transistor as the basis for our analysis. The results are easily expandable to PNP structures. Extensive approximations are necessary to arrive at the basic Gummel-Poon model. A real transistor is a three- dimensional structure while the Gummel-Poon model possesses no spatial dependence at all. We start with a one-dimensional approximation of the transistor and demonstrate how the spatial dependence is integrated out. In process we make the user of the model aware of the many necesary approximations. 3 Informacije MIDEM 23(1993)1, str. 3-9 Z. Fazarinc: Second Order Effects in Junction Bipolar Transistors At the top of Fig. 1 is a schematic depiction of an NPN transistor. The polarities of externally applied biases are in agreement with normal operation while the junction biases at xi and X2 are defined in agreement with convention. n(x)=Nde PW p (x)-Na Fn Fn V'i n (x)=Ndc pW Fig. 1: X¡ X2 NPN Transistor Schematic Below the transistor and in relative position to it are shown the internal concentration of electrons n and of holes p as functions of x. The concentrations shown correspond to a positive Ve and a negative VCett. The junction at X2 is therefore back-biased. 3. Modeling of High-Level Injection The high-level injection or the Webster effect is an integral part of the Gummel-Poon model but we will rederive it here in order to lay ground for inclusion of additional second-order effects. The Webster effect originates in the base voltage drop near the emitter junction. This directly reduces the effective bias across the junction from the full value Ve. It happens when the number of minority carriers injected into the base approaches that of the background concentration and results in a significant drop of current gain. The absence of neutrality which accompanies this phenomenon requires that the model include the electric field. We start therefore with the transport equations 16/ in terms of electron and hole flux densities Fn and Fp, respectively, which contain in addition to the diffusion term the drift term as well. Fn{x) = .n^Üki " ax qDn kT E{x)n{x) (1) Fpix) = D, Mil ax qD„ ~fE(x)p(x) (2) In (1) and (2) D stands for the diffusivity of respective carriers and E(x) for the electric field, q is the electronic charge, k is the Boltzmann's constant and T is the absolute temperature. Fp in the base's P-region is the majority carrier flux and as such negligible compared to Fn. We take advantage of this, set it to zero, aod find from it the electric field E(x). This field is, of course, common to both carriers and we substitute it into the first equation to obtain Fn(x) = .Dn^l.D &(*±!i(x}_ & " ax p(x) Multiply both sides by p(x) and obtain Fn(x)p(x) = -Dn 9n(x) , s . dp (x) „ The term in brackets is recognized as the derivative of the product p(x) n(x) so the integration of the above equation between the two junctions yields JF„ (x)p (x) dx = - D„ n (x)p (x) (3) •n The product n(x) p(x) is given by the mass action law 111 everywhere except nearthe two junctions where the law of the junction applies. Therefore the following must be true n(xi) p(Xl) = n2i eqVe/kT n(x2)p(x2) = n2¡eqVcemT (4) (5) where n, is the intrinsic concentration of the host material. Substitute (4) and (5) into (3) and end up with the following integral equation x2 ¡Fn(x)p(x)dx = -Dnnj ,qVceffßT _ qVt/kT (6) A computer solution of (6) for any arbitrary distribution of p(x), given the other parameters, is quite feasible and may prevail in the future as a means of circuit analysis. At this time the computational effort still becomes excessive if thousands of transistors are involved even in view of the present day computer performance level. Therefore, we are forced to eliminate the last remaining spatial coordinate, i.e., xfrom our model. This we do by making the assumption of space charge neutrality which is in direct conflict with the initial goal of deriving a model subject to internal electric fields. The fact is that we cannot solve the integral in (6) for the general case by any known means. A consoling circumstance is that a very small charge unbalance is sufficient to maintain the electric fields in the region of interest and the neutrality condition can be justified on that basis. This says that sum of all charges in the region of interest must be equal to zero. In addition to mobile charges n(x) and p(x) we 4 Z. Fazarinc: Second Order Effects in Junction Bipolar Transistors _ Informacije MIDEM 23(1993)1, str. 3-9 must consider the ionized impurities which results in the commonly encountered neutrality condition Nd(x) - Na(x) + p(x) - n(x) = 0 (7) An alternative expression for the minority carrier flux density Fn(x) is in the terms of their density n(x) and velocity Vn(x) Fn(x) = n(x) Vn(x) A combination of (7) with the above produces F 6c1 p(x) = ~~~ +Na(x) - h'd(x) vn(x) (8) Equation (9) is the principal result of the work by Gum-mel and Poon if w is replaced by the unbiased base length Wb0 and the effective collector junction bias Vceti is raplaced by the applied collector-base voltage Vc. We will give this expression an interpretation later. 4. Base-Width Modulation Parameters w and Vceft can be expressed in terms of some physical parameters illustrated in Fig.2. This depicts the electric field for our idealized structure from Fig. 1 with the unbiased case shown in dashed lines. Before we substitute (8) back into (6) we recognize that the donor density Nd in the base P-region of our NPN transistor must be zero and that in absence of recombination the minority flux density in the base Fn(x) must be independent of position xand equal to the collected flux density Fc. Consequently (6) translates into the following quadratic equation F2cf-^+FcjNa(x)dx+D„nj •M vn(x) The solution of the quadratic yields jNa(x)dx Fr x 2 dx \ vn{x) 4Dnnj(e^kT-e^kT) J * •ri fNa(x)dx Vn{x) The integral of dx/vn(x) is the transit time from xi to x^ which we denote by in. The transit time in the base is primarily controlled by diffusion despite the electric field-presence. We will therefore use the diffusion aproxima-tion of the transit time (8) (x2 -xxf w2 2D„ 2D„ Finally we are forced to assume that the concentration of acceptors in the base Na(x) is independent of x, admittedly not a good approximation. All of this results in the highly simplified expression for the collector flux density Fr w 1 - \f\ + 2{ni/N0)2(eqV',kT - eqV«»,kr) The collector current density Jc is the negative of the electron flux density multiplied by q Je = qDnNa .Vi+w)2^-/^) (9) Fig. 2: Electric Field Inside the Transistor. The area under the field curve equals the voltage across the particular junction. For the unbiased case we have in the emitter and collector junctions, respectively, the following built-in voltages , kT, Ndc 4>e = — In-- and 4>c = kT, — In---— (10) In terms of these it is easy to extract by simple geometric considerations for similar the following expression for the diffusion width of our transistor w = Wbo - /«V 1 -Ve/4>e - IboV 1 - Keff/tc (11) 'eo ¿/(¡(No + Nfe) and ¡bo~V Expression (11) contains the base length modulation caused by the emitter and collector voltage. The latter is, of course, the Early effect and if we substituted (11) back into (9) we would have included the Early effect in the Gummel-Poon model. But we have promised to include the Kirk effect as well so we must now focus on it before we finalize the model. As the readers might know, the Kirk effect is also referred to as "base push-out", i.e., abase lengthening effect. 5 Informacije MIDEM 23(1993)1, str. 3-9 Z. Fazarinc: Second Order Effects in Junction Bipolar Transistors It has its origin in the resistive drop in the collector region for high currents. As the collector current rises some of the applied collector voltage Vc is expended in the collector resistance and the remaining junction voltage Vceff may be diminished to the point where no more collection of the arriving electrons takes place in the junction. The electrons start accumulating and the diffusion length of the base increases. This is illustrated in Fig.3. From (11 ) we get for the length lb lb = I bo V 1 + (Vc-JcPcWco)/4>c (13) Expression (13) applies as long as lb remains real. It attains a zero value for K -hPc^co = -4>c or Jc0 Vc+4>e PcWco (14) Hence (13) applies for Jc < Jco. When Jcgets larger we have at hand the second case in Fig.3 which is easily solved for / as / = wr, Vc+4>c JcPc for Jc >Jc0 (15) We can now summarize this discussion by expressing the effective base width wand the effective collector bias Vceit applicable to (9) as follows Case: JC Jco w = Wbo + Wco- (Vc+4>c)/JcPc (17) In it we see the shape of the electric field for moderate collector current at the top and for high current on the bottom. What the two cases have in common is the area underthe field on the collector side which represents the collector voltage. One can observe the lengthening of the base region through which the electrons must diffuse as the current increases. The net effect of this is a reduced current gain but more importantly a quadratic increase of transist time, which reduces the hihg frequency cutoff of the transistor in inverse proportion. With this much physical insight we should be able to drive the effective collector junction bias VCeti and the diffusion base length w. We focus on the collector side and try to find the lengths lb or / as the case may be. The top sketch in Fig.3 which representes a moderate current case suggests that most of the applied collector bias appears across the collector-base junction. The resistive drop in the collector region of resistivity pc is Vceff-0 . Let us return now to expression (9), multiply and divide it by 1 + %/1 + 2(nj/Na)2(eqVt/kT - eqV^/kT) and obtain Who Jc = 2 /,- elK/kT _ eqVcc) Informacije MIDEM 23(1993)1, str. 3-9 Z. Fazarinc: Second Order Effects in Junction Bipolar Transistors which ignores the Kirk effect. Dashed lines have been used in this latter case. A slight degradation of current gain is noticeable at high currents when Kirk effect is considered. As the collector bias is increased the degradation is still there it only occurs at higher currents. In Fig.5 the current gain is graphed for three different collector biases once with inclusion and once without the Kirk effect. The latter is plotted in dashed lines. Fig. 5: Current Gain and Base Width tor Different Vc, • The main problem caused by base push-out is not the current gain degradation but the degradation of high frequency performance. In Fig.5 the base width w is plotted for the same three collector biases as p and the dramatic effect is inescapable. The highfrequency cutoff is inversely proportional to the square of the base width across which the carriers must diffuse and consequently the inclusion of the Kirk effect is of crucial importance where the high frequency performance is at issue. Finally in Fig.6 the common emitter characteristics of our sample transistor are shown for moderate bias conditions to ilústrate the Early effect. Fig. 6: Common Implementation in C 7, A Computer Implementation in C C-language is gradually making inroads into scientific computing and it seems appropriate to show one implementation of our Gummel-Poon model in this language. The notation used is adhered to as closely as permitted by the language. Dots are used to mark those variables whose values may be assigned by the user. //Part 1: Assigned parameters epsilon = 1 e-12; q = 1,6e-19; wbo = 1e-4; wco = 1 e-3; Na = 1e16; Ndc = 1e15; ni = 1.45e10; mun = 1450; Vc =.....(positive); Ve =......(positive); temperature =.....(positive in Kelvin); decimator =.....(.03) used in the example. //Part 2: Computed Variables kT= 1.38e-23* temperature; Dn = mun*kT/q; phic = log(Na*Ndc/(ni*ni))"kT/q; //(f>c rhoc = 1/(q*mun*Ndc); //pc Ibo = agrt(phic*epsilon/(q*(Na+Ndc); llko lb = lbo*sqrt(1+Vc/phie); Ilk Jco = (phic+Vc)/(rhoc*wco); IIJco Js = 2*Dn*ni*ni/(wbo*Na); l/Js J1 = Js/betamax; IIJi J2 = Js*exp(q/(2*kT)*VbetaO); IIJ2 niNaSquared = 2*ni*ni/(Na*Na); l/2(n/Naf //Part 3: Tentative evaluation with approximate values tentativeW = wbo-lb; Vceff = - Vc; A = exp(Ve)-exp(Vceff); Jc = 2*Js*wbo/tentativeW*A /(1 +sqrt(1 +niNaSquared*A)); Jb = J1*exp(q*Ve/kT) + J2*exp(q*Ve/(2*kT)); //'Part 4: Final evaluation with filtred feedback if(Jc <= Jco) {Vceff = - (Vc-Jc*rhoc*wco); W = wbo - lbo*sqrt(1-Vceff/phic); J else {Vceff = 0{; tentativeW = wbo+wco-(Vc+phic)/(Jc*rhoc); 8 Z. Fazarinc: Second Order Effects in Junction Bipolar Transistors_ w = (tentativeW-w)*decimator + w; } A = exp(Ve)-exp(Vceff); Jc = 2*Js*wbo/w*A /(1+sqrt(1+niNaSquared*A)); One may wonder why so many steps for a relatively simple set of equations. If the transistor is embedded in reactive circuitry, nothing can change abruptly and one can evaluate the model equations without any complications. But a true DC mode! presented here experience sudden changes of parameters. The transition from normal operation to Kirk state, for example, is very abrupt and is dependent on the collector current. The collector current, on the hand, is exponentially dependent on Vceti. We are consequently faced with a high gain feedback system of essentially infinite bandwidth. The behaviour of such systems is well known and therefore we have introduced a filter into the feedback loop. The "decimator" has a value less than unity and provides a bandwidth limitation to the system. If the Kirk effect is of no consequence Part 4 can be omitted all together resulting in dashed curves of Fig. 4 and 5. 8. Conclusion The Gummel-Poon model has been revisited with the purpose of including the Early and Kirk effects earlier in the derivation. The result is a simpler model with fewer parameters that can be derived from the process and from simple measurements. The Kirk effect sets in abruptly and calls for softening of the response unless the external circuitry provides the cushion. If the high frequency response is not of importance, only the base-width modulation associated with the Early effect should Informacije MIDEM 23(1993)1, str. 3-9 be retained. The current gain deterioration due to the Kirk effect can be neglected in such cases. A sample computer program cast in C-like language shows how one or both base modulation effects can be implemented in the model. Reference /1/ Ebers, J.J., and Moll, J.L., "Large-Signal Behaviour of Junction Transistors," Proc. IRE, 42, No.12 (December 1954), pp.1761-1772. 121 Gummel, H.K., and Poon, H.C., "An Integral Charge Control model of Bipolar Transistor," B.S.T.J., (May-June 1970), pp.827- 852. /3/ Early, J.M., "Effects of Space-Charge Layer Widening in Junction Transistor," Proc. IRE, 40, (November 1952), pp.1401- 1406. /4/ Kirk, C.T., "A Theory of Transistor Cutoff Frequency Falloff at High Current Densities," IRE Trans. Electron Devices, ED-9, No.2 (March 1962), pp.164-174. /5/ Sze, S.M., "Semiconductor Devices", John Wiley & Sons, 1986, p.126. /6/ Muller, S.R., and Kamins, "Device Electronlsc for Integrated Circuits," Second Edition, John Wiley & Sons, p.220. /7/__pp.16-18 /8/_, p.335 /9/_, pp.226-228 prof. Zvonko Fazarinc, Ph.D Stanford University, ret. Hewlett-Packard Laboratories, ret. 880 La Mesa Drive Menlo Park, CA 94025 fax (415) 854 8574 Prispelo: 05.2.93 Sprejeto: 25.2.93 9 Informacije MIDEM 23(1993)1, Ljubljana UDK 621.3:(53+54+621+66), ISSN0352-9045 SURFACE SMOOTHNESS OF ANISOTROPICALLY ETCHED (100) SILICON Drago Resnik, Uroš Aljančič, Danilo Vrtačnik, Slavko Amon Keywords: microelectronics, silicon, presure sensors, piezoresistive sensors, thin membranes, squared forms, surface quality, surface machining surface smoothness, micromachining, wet etching, anisotropical etching, KOH kalium lye, water solution, crystal orientation Abstract: Preferential etching of (100) oriented silicon in aqueous KOH solutions with special emphasis on surface roughness was performed and results are presented. The surface quality is of great importance for devices utilizing thin membranes, cantilevers and other micromachined structures. The final surface smoothness is strongly dependent on parameters such as etching temperature, molarity and stirring of the solution as well as initial surface condition of silicon wafers. The influence of iso-propyl alcohol (IPA) and n-propanol additives is also shown. Resulting data were applied to the fabrication of the thin, square silicon diaphragm suitable for piezoresistive pressure sensor. Gladkost anizotropno jedkane površine (100) silicija Ključne besede: mikroelektronika, silicij, senzorji tlaka, senzorji piezouporovni, membrane tanke, oblike kvadratne, kakovost površine, obdelava površine, gladkost površine, obdelava najfinejša, jedkanje mokro, jedkanje anizotropno, KOH lug kalijev, raztopina vodna, orientacija kristalov Povzetek: V članku je predstavljena problematika anizotropnega jedkanja silicija kristalne orientacije (100) v KOH vodni raztopini s posebnim poudarkom nadoseganju gladke jedkane površine. Končna kvaliteta površine tanjšanega silicija je mono odvisna od naslednjih parametrovjedkanja: temperature jedkala, molarnosti raztopine, mešanja raztopine in od začetne kvalitete silicijeve površine. Prikazan je tudi vpliv dodatka izopropil-alkohola in n-propanola v KOH vodno raztopino na gladkost površine. Končna aplikacija raziskave je izdelava tanke silicijeve membrane, uporabne za piezoresistivni senzor tlaka. INTRODUCTION The micromachining of silicon has become a large field of interest for many researches and producers of sensors and actuators in the last decade. Silicon single crystal exhibits diverse physical and chemical properties along different crystal planes. Certain etching solutions attack different crystal planes with various etch rates. Anisotropical behaviour of (100) and (110) oriented silicon is one of the fundamental properties that enables the micromachining of silicon single crystal /1/. Along with conventional microelectronic technologies it leads toward fabrication of numerous miniaturized sensors, actuators and other micromechanical devices like gears and micromotors /1,2,5,6,8,9/. Most of these sensors are integrated with supporting on-chip interface circuitry enabling temperature compensation, linearization and other functions /9/. The etching anisotropy also differs with a type of the etching solution as well as with concentrations of etchants and additives/2/. Orientation dependent etch solutions are numerous such as ethyle-nediamine- pyrocathechol-water (EDP), hydrazine, KOH or similar alkaline solutions and recently reported anisotropic etching behaviour of NH3-H2O2 solution with low H2O2 content. The difference between them is in the etch rate, anisotropy, operating hazard and masking materials. Different initial surface preparation of the silicon after long etching leaves various roughness of the final silicon wafer surface. For very thin structures (silicon diaphragms for pressure sensors, mass flow sensors or microphones, cantilevers or bridges for ac-celerometers) the surface roughness is of great importance because it can cause false response or severely damage the sensor device. To avoid this, it is desirable to fabricate sensor structures with very smooth surface. The most commonly used bath for anisotropic etching of silicon nowadays is still aqueous KOH solution which represents the best compromise between etching anisotropy, (100) etch rate, dopant dependency of etch rate and most of all it is much safer to handle compared to the others /3/. By some authors we can achieve even an etch rate ratio 200:1 between (100) and (111 ) planes 121. Backdraws are perhaps in the first place the possible process contamination with potassium and the fact that ShN4 is inevitable as an etching maskfordeep grooves. SiÛ2 etch rate is about ten times higherthan that of Si3N4 , therefore SiÛ2 mask is suitable only for short etching times. Emphasis were put on its influence on the surface smoothness regarding the concentration and bath temperature. The work conducted is mostly experimental and provides some observations on the behaviour of (100) silicon material thinned in KOH solutions. 10 / D. Resnik, U. Aljančič, D. Vrtačnik, S Amon: Surface Smoothness of Anisotropically Etched (100) Silicon Informacije MIDEM 23(1993)1, str. 10-16 EXPERIMENTAL DETAILS All work presented here was performed on CZ grown silicon wafers, [100] crystal orientation, 3" in diameter, phosphorus doped, resistivity of 8-10 Qcm, with mechanically mirror polished front side and caustic chemically polished back side. The wafers were RCA cleaned and prior to each test the dip of 30 seconds in 5% HF was carried out on the samples to remove a thin layer of native grown oxide. With same step equal initial conditions were established for each etch start. The thinning of silicon large samples was first done in four different aqueous KOH solutions (concentrations 20, 27, 35, 42 wt%). The etch rate and the surface quality were recorded every 30 minutes and the sample was then reinserted. After completing the trials, evaluations were made and the results were compared. Primarily from optical observations it has been concluded that samples etched in 35% KOH were superior concerning smoothness. Based on these results and on the fact that anisotropy is the highest at concentrations around 35 wt% 111, we have chosen the concentration of 35 wt% to further investigate the impact of temperature and bath agitation on surface quality and etch rate. To sustain a sufficient mixing of the solution and between the sample and the solution, stirring of the solution was provided by N2 gas bubbling through a single nozzle at rate 200 sccm/min. The samples were exposed to the etch solution at 50°,60°,70°,80° and 85°C. The temperature of the bath was held within 1°C what is hardly sufficient due to the high sensitivity of the etch rate on the temperature. Schematic drawing of the experimental setup is presented in Fig. 1. The thickness of thinned samples was measured with a micrometer, visual control was provided by optical microscope Olympus and the surface roughness was determined with surface profiler Tencor Alphastep 200. The influence of organic additives on silicon surface roughness (IPA and n-propanol) was also observed by optical microscope and by SEM. Finally, test patterns of square geometry defined by LPCVD Si3N4 mask were exposed to the etchant. The square windows (1x1 mm) were defined by wet etching into 74nm thick Si3N4 via thermally cured Si02 spin-on-glass mask. Some difficulties appeared when photolithography was accomplished on the chemically polished back side due to very rough initial surface (5-10|am). The wafers were mounted in a polypropylene holder to protect one side from etching. The problem of mask pattern misorientation from [110] wafer primary flat was pronounced as well as the effect of insufficient exchange of etching species between the sample and the solution at deep groove etching. The quality of the silicon diaphragm surface was investigated by transmitted light optical microscope Olympus, SEM and by cleaved cross sectioned diaphragm sample observation . Thickness of diaphragm was measured by determining the difference of the focal planes between the wafer surface and the bottom of the groove as well as on cleaved samples mentioned above. POLYPROPYLENE HOLDER NITROGEN GAS -►:-------- POLYPROPYLENE LID/CONDENSER n^ THERMOMETER 'O* RING PYREX BEAKER STIRRING NOZZLE TEMPERATURE CONTROLER HEATING MANTLE TEFLON COATED VESSEL Fig. 1: Schematic diagram of system designed for anisotropic etching of silicon structures 11 Informacije MIDEM 23(1993)1, str. 10-16 D. Resnik, U. Aljančič, D. Vrtačnik, S Amon: Surface Smoothness of Anisotropically Etched (100) Silicon ji w H < K X O H W Z o o j a O O T = 80°C RESULTS & DISCUSSION The results of thinning large area silicon samples are presented first from the point of surface quality and etch rate. The effect of different KOH concentrations on the etch rate of (100) plane at temperature 80°C is shown in Fig.2. The results from Fig.2 are in good agreement with the work of Seidel et al. /4/. i oo 90 80 70 60 50 40 30 20 10 0 this work j_[_ 5 20 25 30 35 40 45 KOH concent rat ion [WT "rj portant to maintain the temperature of the bath very constant to avoid etch rate variations, particularly in the case when we rely only on the etch time recording to control the thickness of removed silicon. H CU W G O w 250 200 I 50 100 50 0 I ■ I I KOH 35 WT %. i I / 80°C ....... STIRRING . / / _ - NO STIRRING R' / // ■ / .4/ -r 60°c V ' I ! I ! 0 20 40 60 80 100 120 KTCH TIME [min| Fig. 3: Etched depths i/s etching time at different temperatures without stirring (full lines) and pronounced stirring effect (dashed lines) Fig. 2: Dependence of (100) etch rate ira KOH concentration at 8(fC without stirring the solution The highest etch rate obtained among selected concentrations was at 20 wt% KOH, but we observed that at this concentration the surface smoothness was spoiled by formation of micropyramides. This tendency decreases with increasing KOH concentration (Fig.5) and increases with etching time for mentioned concentrations . Due to the initially rough surface the cellular surface appears as can be seen from Figures 5,6. At high concentration (42 wt%) KOH the inside cell surface became rougher than at 35 wt% KOH (Fig.5) as was observed under incident light inspection although no micropyramides were formed. The dependence of the thickness of removed silicon versus etch time at four different temperature of KOH 35 wt% is presented in Fig.3. It is obvious from the diagram that the etch rate of the stirred solution was higher than that of unstirred for up to 10%, what is in agreement with Seidel /4/, but not with the work of Palik et al./5/ who noticed negligible effect of stirring on the etch rate. The slope of the lines represents directly the (100) etch rate. The activation energy of 0.593 eV for (100) orientation and 35wt% KOH was determined from Arrhenius plot in Fig.4 with etch rates at five different temperatures. Thus it is very im- t-< IX X o h w z o o a O O 1/T [' U)'"K" 1 Fig. 4: Temperature dependence of [iOOj oriented etch rate for 35wt% KOH solution In Fig.6 we present the micrographs of initial chemically polished (100) surfaces out from different bath temperatures after 90 min of etching with stirring of the solu- 12 D. Resnik, U. Aljancic, D. Vrtacnik, S Amon: Surface Informacije MIDEM 23(1993)1, str. 10-16 Smoothness of Anisotropically Etched (100) Silicon_ Fig. 5: Optical micrographs of initial chemically polished surface after 90 minutes in the following KOH concentrations at 8CPC. Tendency for the formation of micropyramides is seen for the concentrations below 30 wt% Fig. 6: Samples etched at different temperatures after 90 minutes in 35 wt% KOH with stirring tion. The area of the cells on the surface increases with etch time as well as with temperature. Within cells roughness increases when the solution is not stirred while this is improved with stirring the solution and heating it up to 80°C (Fig.6). It was observed that smoothness is strongly dependent on the thickness of the removed silicon i.e. the cell area is increasing with time and the boundaries are not so much pronounced, meaning that cells at different initial heights of (100) planes are approaching one another/5/. Initial mechanically polished surfaces exhibit minor changes in roughness at mentioned conditions and were thus difficult to evaluate by means of optical microscope or SEM. The evaluation was done by profilometerTen-cor after 90 min of etching at 80°C with stirring and without stirring. The roughness of initial mechanically 0.4 ^ 0 2|l a) initial mechanically polished sample f.......... f stirred o. o f.. -o 2 t -0.4 |i. t o 1 0.2 I: t,'\ b) initial chemically polished sample i V ■ ■ ' . , (\ \ stirred J l. "rooo -4 I: r I I 1 I I 1 >, ,, unstirred ■ \........ \ Fig. 7: Tencor surface profiling scan showing the effect of stirring the 35 wt% KOH, 8(PC: a) initial mechanically polished sample, b) initial chemically polished sample 13 Informacije MIDEM 23(1993)1, str. 10-16 D. Resnik, U. Aljančič, D. Vrtačnik, S Amon: Surface Smoothness of Anisotropically Etched (100) Silicon polished surface remained within few hundred Angstroms (Fig.7a), while the initial chemically etched surface after 90 minutes still showed roughness of approximately 3 |am (Fig.7b). Alcohol as an additive does not participate in the reaction when added to the binary KOH-H2O mixture /4/. The alcohol plays more the role of a moderator and chelating agsnt /4/. White residues of etching products were collected within alcohol-rich top layer of ternary mixture. Etching in ternary mixtures of KOH-H2O-IPA with ratio 312g KOH : 1000ml H20 : 250ml I PA /4/ led us to the results presented in Fig.8. From the initial chemically polished surface, first small pyramides were formed until after 120 min all the surface was covered with them and the etch rate of (100) silicon decreased by 30%. In a similar way acted the solution with added n-propanol in ratio 250g KOH : 800g H20 : 200g n-propanol /6/. The same was observed also on initial mechanically polished side. Because other authors have not reported these appearance, we have suspected that a probable cause for miropyramids formation could be our PA grade chemicals. In our experiments the quantity of added alcohol was also changed and it was found that lower contents (below solubility limit of alcohol in KOH-water solution) improve the roughness but only if KOH concentration in water is at least 35 wt%. Change in anisotropy was noticed as shown on micrograph in Fig.9. In this case (50 ml/l of n-propanol added to the 35wt% KOH) the surface was free of micropyramides. The (110) etch rate has decreased significantly, while (100) etch rate change was negligible. Micrograph in Fig.9 is presenting this influence. m a A- ^ fMttr k 80um Fig. 8: SEM at 5(f specimen tilt, KOH-IPA after 90 minutes at 8CPC: a)initiai chemically polished sample (500x), bjinitiai mechanically polished sample (200x) Fig. 9: Micrograph of initial chemically polished sample with addition of n-propanol showing changed anisotropy (lower (110) etch rate) Based on the results presented above, the work was continued on patterned wafers. The square windows in S13N4 mask were fabricated by lithographic step and inverted pyramidal cavities were subsequently etched down in stirred 35 wt% KOH solution at temperature 80°C. A typical etch rate was 1,2-1,3 |im/min. As one can see in Fig. 10 the fast etching (100) plane is bounded by four slow etching (111) convergent self-limiting planes, reaching the surface at an angle of 54,7°. .-1 silicon nitride mask sl'icon oxtde buffer layer Fig. 10: Anisotropic etching for (100) silicon through a patterned So A/4 mask. A buffer layer is usually used to compensate interface mechanical stresses When defining the mask pattern on Si3N4, essential care must be taken to align the mask parallel to [iio] primary flat of the wafer. In the case of misalignment of approximately 3°, the undercutting of mask occurs and the (111) 14 D. Resnik, U. Aljančič, D. Vrtačnik, S Amon: Surface Smoothness of Anisotropically Etched (100) Silicon Informacije MIDEM 23(1993)1, str. 10-16 planes are etched in step-like way. As a consequence the edge of the fabricated diaphragm will show saw tooth pattern as shown in Fig. 11. Fig. 11: SEM, 200x, showing the step like due to misorientation of mask to r primary Hat planes wafer After this severe problem was overcome by more precise mask alignment, the (111) planes were smooth and the etching proceeded down to the depth desired by controlling only the etching time. The diaphragms of thickness 20 (am were easily achieved and we have estimated the variations of the thickness on cleaved cross- sections to 2-3 (am if etching has started from the chemically polished side of the wafer (Fig. 12). In diaphragm etching experiments conducted without or insufficient stirring the peculiar patterns were observed on diaphragm surface across all the wafer as shown in Micrograph showing diaphragm cross section at the edge. Thickness variations seen are from 24-27^.m. The inset is showing cleaved cross section of neighbouring devices on the wafer Fig. 13: Optical micrograph of 20 jam thin diaphragms showing the pattern left on (100) surface due to insufficient stirring of KOH Fig. 13. This was solved by selecting proper stirring of the solution. The repeatability of this method was poor and we have not found the reliable method to detect the desired etching endpoint. The method of determining the colour of the transparent light through the diaphragm visually was-insufficient for professional use. It must be mentioned that at the present stage of our work all the results presented apply to the etching of uniformly doped silicon. Research toward optimised electrochemical etching or so called etch-stop methods is in progress. These methods utilize N/P epitaxial wafers and offer more consistent results regarding the surface smoothness and uniform thickness of the diaphragm. CONCLUSIONS The observations of initial chemically or mechanically polished (100) silicon surface smoothness and etch rate after long etching in different concentrations of aqueous KOH solution as well as temperatures in the range of 50-85°C were made. A strong temperature dependence of the (100) etch rate was determined with activation energy of .593 eV. The smoothness achieved was optimal between 30-35 wt% KOH solution at 80-82°C according to the evaluation made by optical microscope, SEM and Tencor surface profiler. It was observed that the agitation of bath increases the etch rate and provides smoother surface. At lower concentration (<27 wt%) micropyramides are formed although the etch rate is higher. When adding IPA or n-propanol to low concentration KOH the formation of pyramidal textured surface is even more obvious. Only at higher KOH concentrations and small amounts of alcohol a pyramid free surface was obtained. The square mask patterns on SbN4 should be closely aligned parallel to [110] oriented wafer flat to achieve smooth (111) planes and thus the perfect square diaphragm. The diaphragm fabricated in this way exhibits thickness variations within 2-3 (am . 15 Informacije MIDEM 23(1993)1, str. 10-16 D. Resnik, U. Aljančič, D. Vrtačnik, S Amon: Surface Smoothness of Anisotropically Etched (100) Silicon References: /1/ K.E.Petersen, "Silicon as a Mechanical Material", Proceedings of the IEEE, Vol.70, No.5, pp. 420-457, May 1982. 121 D.L.Kendall, "A new theory for the anisotropic etching of silicon and some underdeveloped chemical micromachining concepts", J.Vac.Sci.Technol.A, Vol.8, No.4, pp. 3598- 3605, Jul/Aug 1990. /3/ L.D.Dyer at al., "A Comparison of Silicon Wafer Etching by KOH and Acid Solutions", J.EIectrochem.Soc., Vol.136, No. 10, pp. 3016-3018, October 1989. /4/ H.Seidel at al., "Anisotropic Etching of Crystalline Silicon in Alkaline Solutions", .Electrochem.Soc., Vol.137, No.11, pp. 3612-3625, November 1990. /5/ E.D.Palik at al., "Fabrication and Characterization of Si Membranes", Electrochem.Soc., Vol.135, No.12, pp. 3126-3134, December 1988. /6/ K.E.Bean, "Anisotropic Etching of Silicon", IEEE Transactions on Electron Devices, Vol. ED-25, No. 10, October 1978. /7/ J.B.Price, "Anisotropic etching of Silicon with K0H-H20- Isopro-pyl alcohol", in Semiconductor silicon 1973, Electrochemical Society, Princeton, NJ, pp. 339-403 /8/ Y.Gianchandani, K.Najafi, "Batch fabrication and assembly of micromotor-driven mechanisms with multi-level linkages", Micro Electro Mechanical Systems 92, Travemünde (Germany), February 4- 7, 1992 /9/ E.Yoon, K.D.Wise, "An integrated mass flow sensor with on-chip CMOS circuitry", IEEE Transactions on Electron Devices, Vol.39, No.6, June 1992. Drago Resnik, dipl. ing. Uroš Aljančič, dipl. ing. Danilo Vrtačnik, dipl. ing. prof. dr. Slavko Amon, dipl.ing. Fakulteta za elektrotehniko in računalništvo Tržaška 25 Ljubljana, Slovenija Prispelo: 29.1.93 Sprejeto:25.2.93 16 UDK 621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 23(1993)1, Ljubljana A STUDY OF THERMALLY FORMED REACTION PRODUCTS IN A Ni/Cr/Si MULTILAYER STRUCTURE A. Zalar, F. Pimentel, S. Hofmann, P. Panjan, D. Kohl and B. Pracek Key words: semiconductors, microelectronics, thin films, multilayer structures, diffusion, nickel slllcldes, differential scanning calorimeter, Auger electron spectroscopy, transmission electron microscopy Abstract: The preparation of well characterized multilayer structures by controlling the interfaclal reactions and diffusion processes is a key to a device fabrication in modern microelectronics. Silicides find widespread applications and interest since they have the appropriate electrical characteristics and together with dlffussion barriers formed relatively high thermodlnamlc stable systems. In this work, a modei multilayer structure composed of Ni, Cr and Si thin films was sputter deposited onto smooth silicon (111) substrates, having the Individual film thicknesses between 20 and 60 nm in order to study the reaction products formed by thermal treatment in a differential scanning calorimeter (DSC). After the deposition one sample was examined by AES depth profiling before heat treatment, in order to control the original interfaces. The other samples were depth profiled after heating at rate of 40°C/min from room temperature to 380°C and 550°C, respectively. The AES depth profiles and TEM investigations of the samples before and after DSC measurements showed a strong reaction between the Si and NI layers and a much less pronounced reaction between Cr and Si. The two types of Ni-silicides, i.e. Ni2Si and NiSi, were clearly recognized. Their formation strongly depends on the reaction time and temperature and on amounts (thin film thicknesses) of Si and Ni which are on disposal for the reaction. Preiskava reakcijskih produktov v termično obdelani večplastni strukturi Ni/Cr/Si Ključne besede: polprevodniki, mikroelektronika, plasti tanke, strukture večslojne, difuzija, silicidi nikljevi, DSC kalorimetri diferencialni, AES Auger spektroskopija elektronska, TEM mikroskopija elektronska Povzetek: Priprava dobro karakteriziranih večplastnih struktur s kontrolo difuzijskih procesov in reakcij na faznih mejah je posebnega pomena za proizvodnjo vezij v mlkroelektroniki. Silicidi so našli široko uporabo v mikroelektroniki zaradi primernih električnih karakteristik In, ker skupaj z difuzijskimi barierami tvorijo termodinamično stabilne sisteme. V tem delu smo modelno večplastno strukturo Ni/Cr/Si, sestavljeno iz napršenih tankih plasti, debeline od 20 ¡.im do 60 pm, ogrevali v diferencialno rastrskem kalorimetru (DSC). En vzorec večslojne strukture smo s hitrostjo 40° C/min ogrevali do 380°C in drugega do 550°C. Na svežih in termično izpostavljenih večplastnih strukturah smo s profllno analizo z metodo AES in presevno elektronsko mikroskopijo (TEM) ugotovili, da v termično obdelanih vzorcih močno reagirajo plasti niklja in silicija, medtem , ko je bila po pričakovanju reakcija med kromom in silicijem šibkejša. Identificirali smo dve vrsti silicidov, NbS in NiSi, kateri od njiju nastane pa je razen od časa reakcije in temperature odvisno tudi od razpoložljivega silicija in niklja. 1.INTRODUCTION Multilayer structures for microelectronics become thinner and more complex, being composed of individual thin films which may function as Schottky barriers,ohmic contacts, dielectrics, etc.(1,2). Silicides find widespread applications because they are compatible with standard integrated circuit processing, possess low electrical resistivity and acceptable thermodynamic stability (3,4). The formation of silicides in thin films of tipically some hundred nanometers (5,6) or for nearly bulk material (7,8) has been extensively studied with different techniques. Auger electron spectroscopy (AES) is a very useful method for the characterization of silicide thin films with a thickness of some ten nanometers or even less (9,10,11 ). A model multilayer structure composed of Ni, Cr and Si thin films with individual layer thicknesses between 20 nm and 60 nm has been developed^), and in this work the reaction products formed by a thermal treatment of the multilayer during differen- tial scanning calorimetry (DSC) measurements were studied. The silicides formation and structural changes due to interfacial reactions and diffussion processes in the Ni/Cr/Si multilayer were studied by DSC, AES and TEM investigations. The combination of all three mentioned techniques yielded a new insight on the type and extend of thermally activated interactions taking place in this ternary multilayer system. 2.EXPERIMETAL The Ni/Cr/Si multilayer was sputter deposited onto smooth silicon (111) substrates in a Balzers sputron plasma chamber (12, 13). The multilayer consisted of twelve thin films with thicknesses given here in nanometers: Ni20/Cr20/S ¡20/N ¡20/Cr20/Si50/Ni20/Cr20/ Si60/Cr20/Ni20/Cr20/Si substrate, as shematically shown in Fig. 1 and in Ref.12 (TEM micrograph in Fig.2, 17 Informacije MIDEM 23(1993)1, str. 17-20 A. Zalar, F. Pimentel, S. Hofman, D. Kohl, P. Panjan, B. Praček: A Study of Thermally Formed Silicides in ... Ref.12). After deposition, one sample was AES depth profiled before heat treatment in a Perkin-Elmer DSC-7 instrument. The other two samples were heated in an argon atmosphere at rates of 40°C/min from room temperature to 380°C and 550° C, respectively, and quenched with 340° C/min in a Perkin-Elmer DSC-7 instrument. Ni/Cr/Si Multilayer Fig. 1 : Schematic cross-section of the sputter deposited Ni/Cr/Si multilayer The samples were analysed with a Scanning Auger Microprobe (Physical Electronics Industries, SAM 545 A) at a base pressure in the vacuum chamber below 1,3 x 10 7 Pa. A primary electron beam of 3keV, 1|jA and a diameter of about 50 |jm was used. Sputter depth profiling was performed on stationary samples using two 1keV Ar+ ion beams at an incidence angle of 47°. The Ar pressure was about 7,3 x 10"3 Pa and the samples were ion sputtered over an area not smaller than 4x4 mm. Auger peak-to- peak heights of Cr (529 eV), Ni (848 eV), Si (92 eV) and 0 (510 eV) were quantified in the AES depth profiles using the relative elemental sensitivity factors given in the PHI handbook (14). For structural and chemical investigations with a transmission electron microscope (JEOL 2000 FX) and energy - dispersive spectroscopy (EDS), the sample heated to 550°C were cross- sectioned and thinned by 6 keV Ar ion milling on a liquid nitrogen cooled cold stage to a thickness transparent for 200 keV electrons. 3. RESULTS AND DISCUSSION The AES depth profile of as deposited Ni/Cr/Si multilayer shows the sharp interfaces, without the interdiffusion betweenthethinfilms (Fig.2a). This is in agreementwith erlier depth profiling studies and TEM investigations (12). The other two depth profiles were obtained on the multilayers heated at rate of 40°C/min from room temperature to 380°C (Fig.2b) and 550°C (Fig.2c), respec- tively. In both profiles, considerable changes of the in-depth distribution of the elemental composition are recognized. The depth profile of the sample heated to 380°C shows that strong reactions between the nickel and silicon layers took place: the Ni from the fourth layer (from the top) reacted almost completely with the third Si layer of originally 20 nm thickness. Due to the thicker (sixth) silicon layer (50 nm) only about a half amount of the Si from this layerwas consumed forthe reaction with the adjacent seventh Ni layer. In both reacted regions the formed silicide has the nearly stoichiometric composition of Ni2Si silicide. At 380°C, the ninth silicon layer near the substrate did not react with the neighbouring chromium layers due to the much lower diffusivity of chromium in silicon as compared with Ni and to the lower Sputter time |m!n] Sputter time [mJn] 0 40 80 120 160 200 240 Sputter time |mln] Fig. 2a,b,c: AES sputter depth profiles of the Ni/Cr/Si multilayers not subjected to heating (a) and after DSC heating at a rate of 4(f C/min (b) to 38(fC and (c) to 55(fC. 18 A. Zalar, F. Pimentel, S. Hofman, D. Kohl, P. Panjan, B. Praček: A Study of Thermally Formed Silicides in ... Informacije MIDEM 23(1993)1, str. 17-20 formation enthalpy of chromium silicide (15). The concentration of chromium in the Ni thin film nearest to the substrate (Fig.2b) apparently shows the diffusion of chromium in the nickel layer. However, this interface broadening is mainly ascribed to the loss of depth resolution at the applied sputtering conditions (12) at the respective depth of the sample and not to diffusion processes. As expected, the diffusion processes are more intensive in the Ni/Cr/Si multilayer heat treated to 550°C. In this case end silicon layers reacted completely with the neighbouring Ni layers resulting in two different silicides (Fig.2c). In the region close to the surface, mainly the NižSi was formed and in the region in the middle of the multilayer, where a larger amount of silicon was on disposal, the NiSi with some excess of Ni was found (Fig.2, at a sputtering time of about 90 minutes). Both silicides were confirmed with two other methods: with EDS analysis of the mentioned regions on a cross-sec-tioned, heat treated Ni/Cr/Si multilayer shown in Fig.3 ¿90 550 Temperature (°C) Fig. 4: DSC trace for the Ni/Cr/Si multilayer heated at scan rate of 43 1960-1970 1970-1980 1980-1990 1990- trend PSSAT* (m2/g) ±2 ±2 ± 1 ±0.5 ±0.5 Purity (%) 97-98 99.0-99.5 99.1 -99.4 99.4 99.7 99.96-99.995 Anion content (%) 1 -2 0.1 -0.6 0.1-0.6 0.10 -0.40 0.005-0.09 Calcination Temperature (°C) 900-1150 900-1150 900-1100 1000-1100 750 Duration 2 - 4 h 2 - 4 h 30 min < 1 min 15s Kiln type tunnel tunnel rotational spray spray Milling time (h) 12-24 12-24 3-12 «1 «1 'PSSAT - particle specific surface area tolerance What happens during calcination? Release of all volatile products of red-ox reactions such as CO2, CI, SO3. The calcinate is free from corrosive gases and all other volatile compounds that could cause cracks during sintering. Through the reaction of sintering and the reaction of spinel crystal structure formation all physical and chemical parameters are homogenized, assuring uniform microstructure and composition during sintering. Due to hihger calcinate particle dimensions, granulate compressibilities approach user-friendly values of < 200 MPs. Is there something unfavorable about calcination? Yes, it is the fact that it must be followed by milling, in order to recover calcinate reactivity by decreasing its particle size and increasing its specific surface area. What is wrong about milling? Milling introduces composition change through the iron pick-up, due to the steel ball abrasion. The quantity of the iron pick-up changes in time as the intensity of steel ball abrasion increases. The iron pick-up can be as high as 1.3 wt % (10). Another effect of abrasion is the change of steel ball dimensions and eventually shapes, which causes the powder physical parameters not to be repeatable in time. It is very well known how deteriorating the change of composition and powderphysical properties affects ferrite electromagnetic properties. So, shortly speaking, the shortcomings of conventional ceramic ferrite powder preparation include limitations on compositional control (3,10), incomplete chemical homogenization, introduction of impurities from milling, relatively coarse particles leading to pressed bodies with large and inhomogenous porosity. In order to surpass these problems and answer to constanly higher demands regarding electromagnetic properties of ferrite materials novel powder preparation techniques (3-8) were developed as well as clean raw materials. The tendency of lowering calcination temperatures and decreasing calcination time is evident in Table 1. The direct consequence of this are shorter milling times, partially eliminating its negative effects. The challenge we wanted to face is to produce high permeability ferrite material with the nowadays commercial row materials by means of the simplest, shortest and cheapest process ever known. This process avoids the problems present in all technologies which incorporate calcination and subsequent milling. The main objectives of this process are to achieve sufficient composition homogeneity during the first wet mixing step, leaving spinel crystal lattice formation, grain growth and densi-fication to sintering step and transferring everything else to the raw material and binder system level. Flow chart of the new 'red' ferrite technology, we used to produce high permeability ferrite cores is presented in Fig. 1. As evident, it follows the old 'red'ferrite processing, with the special attention paid to wet mixing optimization and the choice choice and content of organic additions (9). Sintering is another step that should be especially adjusted, due to its additional function. In this paper sintering was not adjusted to these requirements. Standard sintering Fig. 1: Flow chart of the new 'red' ferrite process 22 A. ¿¡vie, Z. ¿ivic: Red Ferrite Technology - Dream or Informacije MIDEM 23(1993)1, str.21-26 procedure normally used in our production facilities has been used,leaving free space for future improvements. Experimental procedure The starting materials of commercial purity were spray roasted iron, manganese oxide and zinc oxide. Their properties are given in the Table 2.. Ferrite composition to be realized was MnOo.49ZnOo.43Fe2.o73C>4. The dry premixed starting materials are suspended in water and underwent technically superior wet-mixing step. At this stage the appropriate binder/plasticizer combination (9) was added. The slurry was then spray dried. Powder compressibility was measured as a function of a green density. a 55 Z6S £75 2.85 2.95 3.05 3.15 3 25 Green body density (g/cm3) Table 2. Raw material properties Raw material Particle shape Particle size (¡im) SSA (m2/g) Purity (%) Anion content (%) Fe2C>3 spherical 0.25 ±0.05 3.5 ±0.5 > 99.4 <0.100 Mn3C>4 spherical 0.07 + 0.01 15-20 >70.7 <0.027 ZnO spherical 0.50 + 0.10 4-8 >99.9 <0.001 To study magnetic properties ring cores of different dimensions (FT 36/23 20, FT26/1420, FT 26/14 10, FT 22/14 07, FT 10/06 04 and test toroid FT 26/17 06) and cores RM 4 and RM 8 were dry pressed to a green density of 3.00 g/cm3. The samples were heated to 300°C to remove binder. Sintering was carried out in a tunnel and chamber kiln at 1350°C for about 9 h in air. In a tunnel kiln sintering was followed by cooling maintaining the stochiometry achieved by 90 - 99 % disintegration of excess iron (11). In a chambre kiln sintering was followed by a stabilization at 1300°C for 5 h in a 0.1 % O2 + N2 atmosphere maintaining stochiometry achieved by 90 - 99 % disintegration of excess iron and after that by cooling. Small ring cores sintered in a chambre kiln were buried in raw ferrite powder of the same composition. After sintering, RM cores were grinded and polished to improve the quality of mating surfaces. Ring cores were subjected to initial permeability - jlii, loss factor value - tgS/pi, hysteresis material constant - nB, disaccommodation factor - Df and |u - T dependence measurements. Besides magnetic properties, dimension shrinkage dependence of ring cores on their green density was recorded as well as RM core shrinkage and worpage. Results and discussion Fig. 2 shows the dependence of red ferrite powder compressibility on green body density. It is evident that Fig. 2: Powder compressibility dependent on green body density green densities in the range of 3.00 ± 0.05 g/cm3 can be achieved with the pressures well below 200 MPa, which is considered to be the user friendly limit for the dry pressing. Without that condition 'red' ferrite powder would not be considered usable. We suppose that one of the main reasons for such a low compressibility is spherical particle shape of the used raw materials, making the fact that their dimensions are well below 1 ^m unimportant. Proper choice and content of binder/plasti-cizer/lubricant combination is the second one. Low 'red' powder compressibility obtained by new 'red' process discards the 5th of the 'poor' statements about red ferrite processing. With different sintering procedures we achieved different high-permeability levels such as 4600 ± 20 %, 6000 ± 20 % and 10000 ± 30 %. Typically achieved values of tgS/|i @ 100 kHz are 12E-6 for permeability levels of 4300 and 6000 and < 30E-6 for permeability level of 10000. Hysteresis losses are < 1.25E-3/T for the permeability levels of 4300 and 6000 and < 0.8E-3/T for the permeability level of 10000. Disaccommodation factor is < 3.6E-6 for the permeability levels of 4600 and 6000 and <0.2E-6 for the permeability level of 10000. Fig. 3. shows respective ring core permeability - temperature dependence. Elelctromagnetic properties of RM cores are given in the Table 3. It is evident that all parameters both of ring and RM cores are in the world- wide accepted limits. So the 1st of the 'poor' statements is discarded. At this point we can answer the question given at the very beginning of this paper, if good initial homoge-nization of row materials can substitute all classic ways of homogenization such as dry mixing, pelletizing, calcination and milling. The answer is positive. We expect even better results after adjusting the sintering to the noncalcined powder requirements. Achieved dimension exactness and its repeatability is illustrated in Fig.4., where the largest dimension q of RM 8 core was measured at the bottom and at the top of the 23 Informacije MIDEM 23(1993)1, str.21-26 A. Živič, Z. Živič: Red Ferrite Technology - Dream or. £ = TJ XJ C E o jz ffi l-D. 16 26 36 46 66 65 76 86 95 105 116 Temperatura (°C) Fig. 3: Permeability dependence on temperature for different ring cores RM core mag e n t i c properties Core of RM 04 RM 04 H M 08 RWTÖ8 permeability level 4600 10000 4600 10000 Catalogue New process Catalogue New proceaa Catalogue New procesa Catalogue New process Parameter data data data data data data data data + 30 +20 + 30 + 20 + 30 + 20 + 30 + 20 AI (nH) 1700 1900 3690 3700 6700 6320 12600 10000 -20 -20 -20 -20 -20 -20 -30 -20 MBff 2610 2900 6000 6030 3200 3400 6870 6600 fjS/^IOD kHz(E-e) 14 14 14 30 nB (E-3/T) 1.16 1.19 1.12 0.96 Table 3: Electromagnetic properties of RM cores for 19G, 22G and 12G quality Top-hystogr. Botiom-dlstr. q (mm) Bottom-hysl Requirement Top -dlstrlbut Difference q(bottom)-q(top) (mm) dtff.-hyst ..... dlff.-dlstr. pM upper limit Fig. 4: Dimension exactness and repeatability RM 8 length -q Fig. 5: Warpage of RM 8 outer walls; measurement of RM 8 length -q 24 A. Živič, Z. Živič: Red Ferrite Technology - Dream or Informacije MIDEM 23(1993)1, str.21-26 CRITERIA TECHNOLOGY New 'red' (9) Conventional dry mixing(1) Conventional wet mixing(2) Spray firing (3,4) Co-spray roasting (5,6) Soprecipitation (7,8) RAW MATERIAL INFLUENCE Particio physical properties ■ m es a ■ Cations m m Bi m m Anions m es m ■ m m PROCESSING Number of processing at g pa 3 a 6 5 5-6 e-7 Lino production control loop 0 0 0 0 0 0 Yield of toxic agents s m ■■ ES n Recycling of waste material® 0 0 0 0 0 0 Rework possibility 0 0 0 0 d 0 Reduction of duat 0 0 0 0 0 0 Addaptatlon with oonv. forrito prod, O o 0 O O 0 POWDER Homogeneity physical chemical H h h ■ iS H H mm Il i Workability 0 0 0 0 0 0 CORE PROPERTIES ■ m El m H m COST O 0 0 0 0 i ° i m more, better O favorable Table 4: Comparison of new 'red' ferrlte processing with the RM outer walls on arbitrarily chosen 80 out of the series of 3000 pieces. It is obvious that dimension exactness is satisfactory, process capability measure Cp being >1.33 for both of the measuring points. This proof discards the 2nd of the 'poor' statements. Warpage of complicated ferrite cores might be the reason why complicated cores can not be successfully produced as stated in the 4th of the 'poor' statements. One of the ways to estimate the warpage is to measure the difference of the largest RM dimension q at the bottom and at the top of the RM outer wall. The frequency distribution of this difference is shown in Fig. 5. The average difference is 0.18 mm being sufficiently below the tolerance of 0.45 mm. Such an extent of warpage is • m less, worse O o o unfavorable ones usual with standard calcined ferrite RM cores. The 4th of the 'poor' statements is discarded as well. Finally, Fig. 6 presents the dependence of ring core dimension shrinkage on its green density. Shrinkage of the ring core height is 15.0 ± 0.5 %, while shrinkage of its outer and inner diameter is 16 ± 0.5 % for the green density in the interval 3.00 ± 0.05 g/cm3. This shrinkage is exactly the same as the one corresponding to the calcined ferrite powders with approximately equal binder content, being sintered under approximately the same conditions. The 3rd of the 'poor' statements is discarded. The 6th of the 'poor' statements concerns sintering equipment corrosion. This one holds, but let us see to 25 Informacije MIDEM 23(1993)1, str.21-26 A. Živič, Z. Živič: Red Ferrite Technology - Dream or. 19 1 3-|-(-1-1-1-1-r-i-1-1-1-1-1- 2.66 2.66 2.76 2.86 2.06 3.06 3.16 Green body density (g/cm3) - O.D. - I.D. ....... Height Fig. 6: Shrinkage as a function of a toridal green body density what extent and if that problem can be solved. Iron oxide we use incorporates CI". According to U. Wagner (12) chlorides completely evaporate in the temperature range from 400 - 1000°C. This means that probable corrosion problem can be solved on the furnace hardware level in that range of temperatures, using corrosion resistant and gas tight ceramic insullation, stainless steel exaust piping and finally corrosion resistant heating elements, all available in the market. Using higher purity materials for reliable production of f errite materials of permeabilities > 10000 the 6th of the 'poor' statements practically disappears. Let us consider other aspects of the new 'red' technology - the economy and pollution related aspects. Even a glance thrown on the new 'red' process flow chart reveals the following. It is the shortest, the simplest, the cheapest and the best controlable ferrite core processing ever used. Dust pollution is minimal. Comparison of the new 'red' ferrite powder preparation technology with the other ones taking into consideration all of the criteria given in the Introduction is given in Table 4. Judgement of other techologies has already been given by U. Wagner (3). to be nonexistent, such as poor electromagnetic properties, high shrinkage, high powder compressibility etc, or easily solvable such as corrosion. New 'red' ferrite processing is the simplest, the shortest and the cheapest ferrite production technology ever known. Moreover, this technology overcomes classical shortcommings of the conventional ceramic ferrite technologies. Are we entering the new era of 'red' ferrite processing? The time will show whether this orientation is the right one ! References ( 1 ) M. Paulus, "Effect of Homogeneity on Sintering and the Process to Improve it",Sci. of Sintering, Vol. 12, No. 1, (1980), pp.25-38 (2) M. Limpel, "The Review of Ferrite Powder Preparation Technologies", private communication, Ljubljana, Feb. 1992 (3) U, Wagner, "Spray Firing for Preparation of Presintered Powder for Soft Ferrites", J. Magn. & Mag. Mat. 19, (1980), pp. 99-104 (4) M. J. Ruthner, "Fast Reaction Sintering Process for the Production of Ferrites", Colloque C1, Suppl. 4, Tome 38, (1977), pp. C1-311 (5) K. Okutani, "Soft Ferrite Materials and Their Applications", Japan Technical Technology, (1987), pp. 213-247 (6) W. F. Kladnig, M. F. Zenger, "Preparation of Fine-grained High-q Ferrites by Spray-roasting Nitrate Solutions", J. European Cer. Soc. 9, (1992), pp. 341-349 (7) S. Gasiorek, A. Mirocka, "Preparation of (Reactive) Mn-Zn Ferrite Powders by the Wet Method", J. Mag. & Mag. Mat. 19, (1980), pp. 97-98 (8) A. Goldman, A. M. Laing, "A New Process for Coprecipitation of ferrites", Colloque C1, Suppl. 4, Tome 38, (1977), pp.C1- 311 (9) A. Zivic, Z. Zivic, Patent No. P-9200200, Patent Bureau of Slovenia, 1992 (10) A. Zivic, V. Lakner, "Relation Between Calcinate and Milled Powder Parameters and Magnetic Properties of High-Permeability Mn-Zn Ferrites", ibid. (11) E. Macklean, "Thermogravimetric Investigation of Fe2+ in Ferrites Containing Excess Iron", J. Appl. Phys. Vol. 36, No. 3, (1965), pp. 1022-1024 (12) U. Wagner., "The Study of The Correlation Between Raw Materials and Ferrite Properties, II", J. Mag. & Mag. Mat. 23, (1981), pp. 73-78 Conclusion With the example of high permeability ferrite ring and RM core production by new 'red' technology, all of the 'poor' features of old 'red' ferrite technology are shown mag. Angela Živič, dipl.ing.el. ISKRA Ferrites, Stegne 29, Ljubljana, Slovenia mag. Zoran Živič, Škofjeloška21, Medvode, Slovenia Prispelo: 28.1.93 Sprejeto: 4.2.93 26 UDK621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 23(1993)1, Ljubljana CORROSION RESISTANCE OF VACUUM CHROMIZED IRON PARTS FOR HERMETICAL RELAYS L. Koller, M. Jenko, E. Perman Keywords: electromagnetic relays, hermetical relays, miniature relays, components, vacuum chromizing, corrosion properties, corrosion resistance, professional electronics, testing, experimental results Abstract: Corrosion resistance of vacuum chromized layers on pure iron to the defined corroding medium of synthetic sea water (3% NaCI solution) was studied. Two different temperatures (25°C and 93°C) and three different times (48, 100 and 200 hours) of testing were used. An attempt was made to define the coating thickness and the content of chromium which will assure optimal corrosion resistance of surface alloys. Chromizing was performed in medium and high vacuum (3x10~2 mbar and 2x10"5 mbar, respectively). Vacuum chromized iron parts (both sintered iron Vacofer S2 and the relay iron ReFe80) with the layer thickness of 70 |.im (obtained in high vacuum at 2x10'5 mbar at the temperature of 1100°C in 12 hours) showed very good corrosion resistance. Korozijska obstojnost vakuumsko kromanega železa za miniaturne hermetične releje Ključne besede: releji elektromagnetni, releji hermetični, releji miniaturni, deli sestavni, kromanje vakumsko, lastnosti korozijske, odpornost korozijska, elektronika profesionalna, preskušanje, rezultati eksperimentalni Povzetek: Študirali smo korozijsko odpornost vakuumsko kromanih plasti na čistem železu proti korozijskem mediju sintetične morske vode (3% raztopina NaCI). Delali smo pri dveh različnih temperaturah (25°C in 93°C) ter pri treh časih (48, 100 in 200 ur). Poskušali smo tudi določiti debelino plasti in vsebnost kroma, ki bi zagotavljala obstojnost površinskih nanosov. Vakuumsko kromanje je potekalo pri srednjem in visokem vakuumu (3x10"2 mbar in 2x10'6 mbar). Zelo dobro korozijsko odpornost so pokazali vakuumsko kromani železni deli, tako tisti iz sintranega železa VACOFER S2 kot tudi tisti iz relejnega železa ReFe80, pri katerih je bila debelina plasti ~ 70 (.im (dobljena v visokem vakuumu 2x10"5 mbar pri temperaturi 1100°C in času 12 ur). INTRODUCTION When studying the properties of vacuum chromized layers (2, 5, 7, 13) on pure iron we found out that they cannot be tested by the methods suitable for the galvanic or chemically deposited layers. An attempt was made to define the coating thickness and the content of chromium which will assure optimal corrosion resistance. Besides, there was the open question of the appropriate method for testing the corrosion resistance (1,3, 4, 6,8-12,14,15) to the defined corroding medium (16,17). Vacuum chromized layer on very pure sintered iron VACOFER S2 VACUUMSCHMELZE and the relay iron REFe80 USAB-MUNKFORS were studied. EXPERIMENTAL The resistance to attack from salt water is often accepted as a sufficient indication of the corrosion resistance of stainless steel and vacuum chromized iron against the milder and normal atmospheric conditions. This and similar corrosion tests in water and/or different saline solutions are frequently used for stainless and chromi- zed steels. Weight decrease per square cm (mg/sq.cm) and time unit is the measure of the degree of corrosion. Most steels and irons resist corrosion in destiled, river and tap waters while the corrosion in salt water is more severe, since dissolution enhancing the electrolytic effects are predominant. The results give a good indication fairly quickly of the general corrosion resistance of the above mentioned materials. In our investigations corrosion resistance in the defined corroding medium (synthetic sea water (16), often 3% sodium chloride solution) was studied for vacuum chromized test pieces of very pure sintered iron VACOFER S2 (dimensions 50mm x 20mm x 1,2mm) and relay iron REFe80 USAB-MUNKFORS (dimensions 50mm x 20mm x 0.8mm). The test samples were vacuum chromized at the temperature of 1100°C for 3, 6, 9 and 12 hours in a vacuum 3x 10"2 mbar and 2x10"5 mbar (Table 1). The corrosion test times were 48, 100 and 200 hours at the temperature 93°C. For the vacuum chromized sintered pure iron the weight decrease per square cm is listed in Tables 2, 4 and plotted in Figures 1-4. The corresponding data of the vacuum chromized relay iron are also given in Tables 3, 5 and Figures 1-4. 27 Informacije MIDEM 23(1993)1, str.27-31 L. Koller, M. Jenko, E. Perman: Corrosion Resistance of _Chromized Iron Parts for Hermetical Relays u M « 2,8 ca «j 2,6 2,4 2,2 2,0 1,8 1,6 1,4 1,2 1,0 0,8 j 0,6 r j 0,4- i 0,2l 0 I 48 100 200 time (h) 0 100 200 time ( h ) Fig. 1 : Rate of corrosion of vacuum chromized parts (3x10' mbar, room temperature, 3% Nad solution) _pure sintered iron VACOFER S2 - — relay iron REFe80 1 ,2, 3, 4 types of layers (Table 1) Fig. 2: Rate oj corrosion of vacuum chromized parts (3x10 mbar, 9fC, 3% NaCI solution) ___pure sintered iron VACOFER S2 ----relay iron REFe80 1, 2,3, 4 types of layers (Table 1) type of Cr layer time of chromi-zing (hours) pressure (mbars) thickness (m) 1 3 3x10"2 20 2 6 3x10"2 34 3 9 3x10"2 39 4 12 3x10"2 50 1' 3 2x10"5 28 2' 6 2x105 70 3' 9 3x105 71 4' 12 2x105 90 Table 1: Parameters of the vacuum chromized parts and the definition of types type of layer time (hours) (3% NaCI solution) weight decrease (mg/sq.cm) room tempera- 93° C ture 1 48 0.210 0,185 100 0.985 1.360 200 1.935 2.130 2 48 0.205 0.175 100 0.725 1.195 200 0.995 2.105 3 48 0.080 0.020 100 0.690 1.015 200 1.110 1.995 4 48 0.105 0.160 100 0.235 1.045 200 0.285 2.100 Table 2: Corrosion of vacuum chromized pure iron VACOFER S2 (3x10"2 mbar) 28 L. Koller, M. Jenko, E. Perman: Corrosion Resistance of Chromized Iron Parts for Hermetical Relays Informacije MIDEM 23(1993)1, str.27-31 /CD ,J /® o 4 H 100 48 100 Fig. 3: Rate of corrosion of vacuum chromized parts (2x10 mbar, room temperature, 3% NaC! solution) _pure sintered iron VACOFER S2 - — relay iron RE Fe 80 1', 2', 3', 4' types of layers (Table 1) Fig. 4: Rate of corrosion of vacuum chromized parts (2x1 CSb mbar, 9?C, 3% NaCI solution) _pure sintered iron VACOFER S2 - - - - relay iron REFe80 1', 2', 3', 4' types of layers (Table 1) type of layer time (hours) (3% NaCI solution) weight decrease (mg/sq.cm) room temperature 93° C 1 48 0.405 0.235 100 1.770 1.155 200 2.615 1.900 2 48 0.205 0.175 100 0.890 1.065 200 1.435 1.885 3 48 0.200 0.200 100 0.530 0.995 200 0.795 1.795 4 48 0.205 0.075 100 1.040 0.815 200 1.320 1.440 type of layer time (hours) (3% NaCI solution) weight decrease (mg/sq.cm) room temperature 93° C r 48 0.200 0.050 100 0.215 0.125 200 0.420 0.540 2' 48 0.295 0.050 100 0.295 0.050 200 0.410 0.460 3' 48 0.050 0.060 100 0.280 0.025 200 0.290 0.440 4' 48 0.105 0.085 100 0.195 0.100 200 0.245 0.430 Table 3: Corrosion of vacuum chromized relay iron Table 4: Corrosion of vacuum chromized pure iron VA-REFe80 (3x10 2 mbars) COFER S2 (2x10 5 mbar) 29 Informacije MIDEM 23(1993)1, str.27-31 L. Koller, M. Jenko, E. Perman: Corrosion Resistance of _Chromized Iron Parts for Hermetical Relays lype of layer time (hours) (3% NaCI solution) weight decrease (mg/sq.cm) room temperature 93° C 1' 48 0.635 0.200 100 0.655 0.180 200 0.945 0,565 2' 48 0.200 0.165 100 0.290 0.150 200 0,580 0.395 3' 48 0.255 0.155 100 0.315 0.135 200 0.545 0.350 4' 48 0.055 0.055 100 0.260 0.070 200 0.430 0.310 Table 5: Corrosion of vacuum chromized relay iron REFe80 (2x10'5 mbars) RESULTS AND DISCUSSION Corrosion of metals in solutions can be described with the laws of electrochemistry. The electrode potentials of metals and ions as well as the pH of aqueous solutions must be known to understand the corrosion processes taking place. When the corrosion properties are in question the vacuum chromized soft iron may be compared with a stainless steel containing 13% content of chromium. The standard electrode potential of this steel is -0.32 eV. In the medium of neutral salt water with a slightly negative electrode potential of iron some various parallel anodic reactions can take place. Iron is ionized into ferri and ferro ions according to the following reactions: Fe.....> Fe3+ + 3e" -0.036 V Fe.....> Fe2+ + 2e~ -0.440 V Fe2+ —> Fe3+ + e~ -0.771V Ferro and ferri hydroxides react with the oxygen dissolved in water giving Fe'" hydroxide. In the neutral salt solution hydrolysis that gives a dilute solution of HCI is obtained which reacts with the ferro ions giving the chloride of bi-valent iron. Fe2+ + 2 H20 ---> Fe(OH)2 +2 H+ Fe2+ + 2 HCI.....> FeCI2 + 2 H+ Our experiments undoubtedly show (see Tables 2-5 and Figures 1-4) that vacuum chromized layers obtained in high vacuum on both substrates have better corrosion resistance than the layers obtained in medium vacuum. CONCLUSIONS — Strong corrosion effects were found when studying the properties of vacuum chromized layers obtained in medium (3x10"2 mbar) and high (2x10"5 mbar) vacuum for the layers of type 1 and 1' with the layer thickness of 20 |_tm and 28 |am, respectively. It is evident that the thickness of these vacuum chromized layers was less than 50 (.tm. — Corrosion resistant layers of type 2 and 3 (layer thickness of 34 ¡.im and 39 |im, respectively) obtained in medium vacuum are better but the pitting corrosion was detected at points where profile analysis showed that the concentration of Cr under the surface was less than 13%. The layers of type 2', 3', 4 and 4' with a thickness greater than 50 (.im showed excellent corrosion resistance in all mediums both when deposited on very pure sintered iron VACOFER S2 and when deposited on the relay iron REFe80. — It was shown that for the vacuum chromized corrosion resistant layers obtained in high vacuum 2x10"5 mbar (layers of types 1', 2', 3' and 4') the thickness was greater than for the layers obtained during the same deposition time in medium vacuum 3x10 2 mbar (layers of types 1, 2, 3 and 4). The corrosion resistance of the former are therefore better. REFERENCES 1. F.L La Que, H R Capson, Corrosion Resistance of Metals and Alloys, p 86, Chapman and Hale, Ltd, London 1963. 2. R.L.Samuel and N.A.Lockington, Iron and Coal Trades Revicte 1954, 169, 803; Industrial Finishing, 1954, 6, 896. 3. M.Milenkovič etal.. Korozija i zaščita, Tehniška knjiga, Beograd 1966. 4. S.Mladenovič et al., Korozija i zaščita materiala, Rad, Beograd 1985. 5. M.Jenko et al., Študij poboljšanja magnetnih lastnosti železa z difu-zijskim kromiranjem , URP Report 1984, 1985. 6. L. Koller et al., Študij površinske zaščite mehkega železa z difuzijski-ml postopki, URP Report 1985, 1986. 7. M.Jenko, A.Kveder, R.Tavzes, E.Kansky, Diffusion Chromium Coating of Iron Magnetic Circuits Parts for Relays, Journal of Vacuum Sei. Tech. A3, 6 (1985). 8. 1986 Anual Book of AST M Standards Section 3, Vol. 03.02 Erosion, and Wear; Metal Corrosion, Philadelphia. 9. G.Herbsleb und P.Schwab, Werkstoffe und Korrosion 37, 24 (1986). 10. F.W.Hirth, H.Speckhardt und K.Stallmann, Galvanotechnik D 7968 Saulgan 73, 110(1982). 11. F.W.Hirth, H.Speckhardt und K.Stallmann, Galvanotechnik D 7968 Saulgan 74, 426 (1983). 12. H.Schwitter und H.Bohni, Werkstoffe und Korrosion 31, 703 (1980). 30 L. Koller, M. Jenko, E. Perman: Corrosion Resistance of Chromized Iron Parts for Hermetical Relays Informacije MIDEM 23(1993)1, str.27-31 14. R.Wiederman, Werkstoffe und Korrosion 32, 269 (1981). 15. E.Meckelburg, Galvanotechnik D 7968 Saulgan 72, 953 (1981). 16. R.L.Samuel, N.A.Lockington and H.Dorner, Metal Treatment and Drop Forging, Recent Development in Chromium Diffusion, Part III., p. 336 (1955). 17. A.R.Rudnik and D.L.Ljubinski, Tehnologija Miniatjurnyh Rele, Energoizdat, Leningrad 1982. Lidija Koller Inštitut za elektroniko in vakuumsko tehniko, Teslova 30, Ljubljana, Slovenija Monika Jenko, IMT, Lepi pot 11, Ljubljana, Slovenija Eva Perman, Pod ježami 10, Ljubljana, Slovenija Prispelo: 15.1.93 Sprejeto: 04.2.93 r 31 Informacije MIDEM 23(1993)1, Ljubljana_UDK 621.3:(53+54+621+66), ISSN0352-9045 MIKROVALOVNI KERAMIČNI MATERIALI Matjaž Valant, Danilo Suvorov, Drago Kolar Ključne besede: komunikacije mobilne, difuzija satelitska, vezja mikrovalovna, miniaturizacija, keramika dielektrična, keramika mikrovalovna, resonatorji dielektrični, frekvence resonančne, faktorji kakovosti, perovskiti, ZrTiCb keramika mikrovalovna, SnTiCb keramika mikrovalovna, NcfcCh keramika mikrovalovna Povzetek: Zaradi miniaturizacije in optimizacije mikrovalovnih integriranih vezij se je pojavila potreba po mikrovalovnih komponentah, ki morajo biti kompaktne, zanesljive in poceni. V ta namen se je razvilo nekaj mikrovalovnih materialov, ki se danes uporabljajo kot dielektrični resonatorji, mikrovalovni filtri, substrati... Vgrajujejo se v satelitske antene, mikrovalovne pečice, radarje, mobilne telefone, pagerje ter ostalo mobilno telekomunikacijsko opremo. Zahtevane dielektrične lastnosti za takšne materiale so: primerna dielektričnost (>25), visok faktor kvalitete (>4500) in nizek temperaturni koeficient resonančne frekvence (±1.5 ppm/°C). Mikrovalovni materiali z dielektričnostjo od 30 do 40 so večinoma keramike na osnovi (Zr,Sn)TiC>4 ali perovskiti, kot na primer Ba(Sn,Mg,Ta)C>3. Višje dielektričnosti dosegamo s keramiko iz sistema BaO - Nd203 - Ti02. Na Institutu J. Štefan smo razvili mikrovaloven material iz sistema BaO - Nd203 - Ti02 z dodatkom Bi20. Lastnosti tega materiala so primerne za njegovo komercialno uporabo. Dielektričnost znaša 85, faktor kvalitete 4800 ter temperaturni koeficient resonančne frekvence 0+2 ppm/°C. Microwave Ceramic Materials Keywords: mobile communications, satelite broadcasting, microwave circuits, miniaturization, dielectrict ceramics, microwave ceramics, dielectric resonator, resonant frequency, quality factor, perovskites, ZrTiÛ3 microwave ceramics, SnTiOj microwave ceramics, Nd2Û3 microwave ceramics Abstract: Recent advances in mobile telecommunications and satellite broadcasting have created the need for new frequency channels. From the viewpoint of applications lower frequency bands are already heavily occupied, and therefore a further move up to higher frequencies is necessary. This fact is stimulating progress in microwave integrated - circuit technology. Miniaturization and optimization of such circuits creates demands for new components which have to be compact, reliable and of low cost. Microwave dielectric ceramics represents such a group of components. Satellite antennas, radar, mobile telephony, pagers, microwave ovens etc. are some of our everyday devices which include microwave ceramic parts. Band stop and band pass filters, microwave substrate and dielectric resonators for frequency stabilization of microwave oscillators (fig. 1) have been developed. The quality of such devices depends primarily upon the dielectric properties of the material. These properties include a suitable permittivity (>25), a high unloaded Q (>4500) and a low temperature coefficient of resonant frequency (±1.5 ppm/°C) (fig. 4). A number of ceramics have been developed for different applications. Microwave components based on (Zr,Sn)Ti04 (fig. 5) and Ba2TigO20 ceramics with permittivity from 30 up to 40 represent one group of materials, developed in the past. New materials with significantly higher unloaded Q (>105) or with very high permittivity (90) have been developed in the last decade. Examples are perovskite - type oxides (Ba(Sn,Mg,Ta)C>3) and ceramics based on the BaO - NCI2O3 - TiC>2 system (fig.6) with high permittivity. Extensive studies in development of materials from the BaO - Nd2Û3 - T1O2 system with different additives have been made at the J. Stefan Institute in the last years. Optimization of composition, chemical processing and sintering parameters lead us to ceramics which satisfy commercial demands. Three different processing routes were explored as well as the effect of few additives. Final properties obtained were a permittivity of 85, an unloaded Q of 4800 and a temperature coefficient of resonant frequency of 0 ± 2ppm/K (fig. 7 ,8). UVOD Mikrovalovni dielektrični materiali so materiali s posebnimi dielektričnimi lastnostmi, primernimi za uporabo v mikrovalovnem frekvenčnem območju. Zahtevane dielektrične lastnosti teh materialov so predvsem primerna dielektrična konstanta, ki je navadno med 25 in 90, nizke dielektrične izgube oziroma visok faktor kvalitete, imenovan tudi faktor dobrote (od 5000 do 200000 pri 1 GHz) ter zelo dobra temperaturna stabilnost resonančne frekvence (pri večini komercialnih izdelkov se resonančna frekvenca spreminja s temperaturo le za ±1.5 ppm/K). Zahtevnost razvoja takšnih materialov nam kaže podatek, da imajo temperaturno najbolj stabilni dielektriki, ki se uporabljajo za keramične kondenzatorje, koeficient temperaturne stabilnosti dielektrične konstante v območju ± 30 ppm/K, faktor kvalitete pa ne preseže 500. Možnosti uporabe mikrovalovnih dielektričnih materialov zajemajo široko področje saj se kot npr. mikrovalovni resonatorji, filtri ali substrati uporabljajo v satelitskih antenah, mobilni telekomunikacijski opremi (mobilni telefoni, pagerji), mikrovalovnih pečicah, radarskih detektorjih itd. Izraz dielektrični resonator je prvi uporabil Richtmyer leta 1939 za nemetalizirane dielektrične elemente, ki so funkcionirali podobno kot do takrat že znani votlinski resonatorji (1). Šele konec šestdesetih let se dielektrične resonatorje prvič uporabi kot resonančne elemente, konec sedemdesetih pa je sledil pravi prodor mikrova- 32 M. Valant, D. Suvorov, D. Kolar: Mikrovalovni keramični Informacije MIDEM 23(1993)1, str.32-37 materiali _ SI. 1: Osnovne konfiguracije mikrovalovnih oscilatorjev z dielektričnim resonatorjem keramike. Razvoj prvega temperaturno stabilnega mikrovalovnega dielektričnega materiala z nizkimi dielek-tričnimi izgubami na osnovi BaTuOg je omogočil minia-turizacijo mikrovalovnih vezij in jih hkrati pocenil. Danes je razvit širok spekter mikrovalovnih materialov za uporabo v frekvenčnem območju od približno 1 GHz do več kot 10 GHz. Resonančna frekvenca je funkcija: — dielektričnosti materiala, — dimenzij resonatorja in — vrste vzbujenega roda. SI. 2: Magnetne silnice resonatorskega roda TEois v dielektričnem resonatorju Delovanje mikrovalovnega dielektričnega resonatorja Keramični element funkcionira kot resonator zaradi interne refleksije elektromagnetnih valov na meji med visokodielektričnim materialom in zrakom (2,3,4). Pojav povzroči omejitev energije na notranjost in neposredno bližino resonatorja ter tudi specifično obliko elektromagnetnega polja. V notranjosti resonatorja z dielektričnos-tjo nad 30 se nahaja približno 80% energije, oblika polja pa je odvisna od vrste vzbujenega rodu. Vsako elektromagnetno polje vzbujenega rodu je na določen način polarizirano kar nam označujejo oznake rodov: TE ... transverzalno električno valovanje TM ... transverzalno magnetno valovanje EH in HE ... hibridne oblike polarizacije Vsak rod ima svojo karakteristično frekvenco, imenovano resonančna frekvenca. Pri tej frekvenci se v resonatorju tvori stojno valovanje, ki bi se moralo ohraniti neskončno dolgo, če bi bil resonator idealen (brez izgub) in polje brez radiacije. V resnici se polje ohranja le nekaj časovnih period. Resonator z zelo majhnimi dielektričnimi izgubami ima zelo ozko definirano resonančno frekvenco. To nam omogoča izbor zelo ozkih resonančnih pasov, kar je posebej pomembno pri telekomunikacijskih napravah. Velikost resonančne frekvence je neposredno pogojena s frekvenčnim delovnim območjem določene aplikacije. Lastnosti mikrovalovnega dielektričnega materiala Za praktično delo je podanih več približnih zvez, ki omogočajo dokaj dober vpogled v relacije med lastnostmi materiala. Njihova veljavnost je omejena na cilindrične resonatorje z vzbujenim TEois rodom, zato se z debelino resonatorja prilagodimo čimbolj selektivnemu vzbujanju tega rodu. Frekvenčni interval med TEois in ostalimi rodovi je največji, ko je razmerje med višino in premerom resonatorja okoli 0.4, zato bo ravno to razmerje najugodnejše za merjenje mikrovalovnih lastnosti dielektričnih resonatorjev. Prva od teh približnih relacij je zveza med resonančno frekvenco (fr), dielektrično konstanto in premerom (D) resonatorja : fr = c / (DVe") (1) c .... hitrost svetlobe (m/s) Iz enačbe vidimo, da lahko dobimo načeloma vsako resonančno frekvenco samo s spreminjanjem dimenzije resonatorja. Tako npr., če potrebujemo nižjo resonančno frekvenco, zvečamo premer. Ker pa je za izdelavo elektronskih elementov potrebna tudi primerna velikost resonatorja, moramo najprej z dielektričnostjo materiala grobo določiti velikostni razred resonančne frekvence, šele nato pa jo točno definirati z dimenzijo resonatorja. 33 Informacije MIDEM 23(1993)1, str.32-37 M. Valant, D. Suvorov, D. Kolar: Mikrovalovni keramični materiali „ Temperaturni koeficient resonančnefrekvence (Tf) poda relativno spremembo resonančne frekvence pri spremembi temperature za 1 K: J _ f~T - *293K " (T -293K) f293K [ > Pri standardnih proizvodih Tf ne presega ±1.5 ppm/K oziroma ima za posebne namene natančno določen Tf. Če enačbo za resonančno frekvenco odvajamo po temperaturi (upoštevamo, da sta tudi dielektričnost in premer funkciji temperature) in dobljen izraz delimo z izrazom za resonančno frekvenco, dobimo zvezo: T, = -(a + 0.5 Tk) (3) a.... linearni temperaturni koeficient raztezka Tr.... temperaturni koeficient dielektričnosti posledica nehomogenosti materiala. Področja z različno sestavo imajo po sintranju različen lokalni termični koeficient raztezka. Pri ohlajanju se področja različno krčijo in pri tem se tvorijo mikrorazpoke. V njih prodira vlaga, ki zmanjšuje faktor Q. \ \ \ \ \ \ i \l \ v. V s V, \ N. \ \J \ k = JS v -- 8S Ker izhajamo iz približka, podaja zveza slabo kvantitativno sliko, je pa zato kvalitativno toliko bolj pomembna. Vidimo, da moramo pri zmanjševanju Tf uporabljati dodatke, ki ustrezno zmanjšujejo Tk z nasprotne strani. Faktor kvalitete, imenovan tudi faktor dobrote, nam daje razmerje med shranjeno energijo in izgubljeno energijo v enem časovnem ciklusu (12). Definiran je: Q = 2n x (maksimalna shranjena energija / povprečna izgubljena energija v enem ciklusu) Neobremenjen faktor Q (Qo) podaja samo delež lastnih energijskih izgub sistema in je definiran z enačbo: 1/Qo = 1/Qc + 1/Qd + 1/Qr (4) Qc.... faktor Q prevodnika Qd.... faktor Q dielektrika Qr.... radiacijski faktor Q Obremenjen faktor Q (Qi) izraža izgubo energije vgrajenega resonatorja: Qi = Qo + Qext (5) Qext .... zunanji faktor Q (izguba energije na zunanji opremi) Izkaže se, da lahko višje faktorje kvalitete dobimo pri materialih z nižjo dielektričnostjo (5). Hkrati vidimo, da se faktor Q niža z resonančno frekvenco resonatorja. Približno velja celo zveza Q x i, = konst (slika št. 3). SI. 3: Faktor kvalitete v odvisnosti od resonančne frekvence in dielektričnosti MATERIALI Ker je od dielektričnosti odvisna resonančna frekvenca in s tem tudi lastnosti in uporaba mikrovalovnih keramičnih materialov, so v rabi različni mikrovalovni materiali z dielektričnostmi od 25 do 90 (slika št.4). Prvi material, ki so ga preiskušali kot dielektrični reso-nator je bil Ti02, ki ima pri dielektričnosti 104 odličen faktor kvalitete (14600), vendar zelo visok temperaturni koeficient resonančne frekvence (427 ppm/K). Tf so poskušali znižati z različnimi dodatki, vendar zaželjenih rezultatov niso dosegli (9). V zadnjem času je bil dosežen izreden napredek pri razvoju mikrovalovne keramike z visokim faktorjem kvalitete, ki presega 104 in je uporabna pri frekvencah od 10-30 GHz. Ti keramični materiali imajo predvsem kompleksno perovskitno strukturo s strukturno formulo A(BxB'i-x)03 (A = Ba, Sr, Ca; B = Mg, Zn, Nd, La, Sm; B'= Ta, Nb). Temperaturni koeficient resonančne frekvence je mogoče uravnavati z zamenjavo kationov tipa B in B' z oktaedričnimi substituenti kot so npr. Ni, Co, Zr, Sn. Dopiranje z Mn dvigne faktor kvalitete, medtem ko na dielektričnost in temperaturno stabilnost resonančne frekvence bistveno ne vpliva v kolikor je dodatek majhen (10). Poleg same narave dielektričnega resonatorja je faktor Q odvisen še od mnogih drugih dejavnikov. Če je površina resonatorja polirana, je sipanje EM polja manjše. Tudi mikrostruktume analize so pokazale nekaj mehanizmov, ki zmanjšujejo faktor Q. Talina pri sintranju povzroči koncentracijo nečistoč na meji med zrni, kar seveda znižuje Q. Naslednji, zelo važen mehanizem, je Trdna raztopina ZrTi03 - SnTi03 ima dielektričnost okoli 40, nizke dielektrične izgube in temperaturno odvisnost resonančne frekvence, ki jo je možno dobro kontrolirati. Za izboljšanje sintranja in kompenzacijo električnih lastnosti navadno uporabljajo dodatke kot npr. ZnO, NiO, La203 ali Fe203 (11). Nadaljnje raziskave se osredo-točajo predvsem na zmanjšanje vsebnosti nečistoč in 34 M. Valant, D. Suvorov, D. Kolar: Mikrovalovni keramični materiali_„___ Informacije MIDEM 23(1993)1, str.32-37 100 70 - 60 ' <0 - JO 600 000 <00.000 _ 300.000 H g 200.000 ■ X o 100.000 60.000 40.000 30.000 20.000 10.000 6 000 4.000 SI. 4: Frekvenčna odvisnost dielektričnosti in (Q x f) za različne mikrovalovne dielektrične materiale mrežnih defektov v keramiki. Fazna transformacija ZrTiC>3, kije bila opažena pri temperaturah pod 1200°C, je transformacija tipa urejeno-neurejeno. Prehod iz viso-kotemperaturne faze z naključno ureditvijo kationov v nizkotemperaturno fazo je počasen in združen s postopnim zmanjševanjem c-osi zaradi delne ureditve kationov. Zmanjšanje c- osi je vzrok manjši ionski polarizaciji, kar povzroči nižjo dielektrično konstanto. Faktor kvalitete je odvisen predvsem od primankljaja kisika v kristalni mreži. Substitucijsko vgrajen kositer v trdni raztopini delno stabilizira visokotemperaturno fazo, kar omogoča, da s sistematičnim spreminjanjem sestave trdne raztopine dobimo mikrovalovno keramiko s kontroliranim temperaturnim koeficientom dielektričnosti in reso-nančne frekvence. Mikrovalovna materiala BaTi409 in Ba2Ti9C>2o sta kmalu po razvoju (Zr,Sn)TiC>4 keramike izgubila pomembno vlogo zaradi prenizkega faktorja kvalitete (12). Novejše raziskave so pokazale, da je mogoče faktor kvalitete izboljšati s kemično in termično obdelavo prahu in z dodatkom MnC>2 ter VVO3. Ovržena je bila predpostavka, da faktor kvalitete kontrolirajo intrinsični izgubni mehanizmi, povezani s kristalno strukturo. Vzrok za nizek faktor kvalitete je v surovinah, ki so se uporabljale za pripravo keramike te vrste. 99.5% TIO2, navadno ana-tas, vsebuje okoli 0.1% SiC>2 ali fosfatov, ki nižajo faktor kvalitete. Ce uporabimo 99.9% rutil je faktor kvalitete znatno boljši. Dodatka MnC>2 in VVO3 le eliminirata vpliv nečistoč, na keramiko iz zelo čistih surovin pa nimata posebnega vpliva. V primeru Ba2Ti902o na faktor kvalitete zelo vpliva tudi primankljaj kisika. Posebno pozornost je zato potrebno posvetiti procesu termične obde- £ _(__i_- o 0.1 0.2 0.3 0.4 X SI. 5: Odvisnost dielektričnih lastnosti od x v keramiki tipa (Zh-xSrk)TiC>4 (merjeno pri 10GHz) lave, saj se je mogoče z ustrezno oksidacijsko atmosfero izogniti redukciji Ba2TigO20. Po kalciniranju surovin za izdelavo keramike tega tipa lahko lokalno dobimo tudi druge barijeve potititanate. Posebno moteči so politita-nati z visoko vsebnostjo barija (npr. Ba6Tii7C>4o), ki pa so v mineralnih kislinah dobro topni in jih lahko uspešno izlužimo iz slabše topnih polititanatov z nižjo vsebnostjo barija. Temperaturno stabilnost resonančne frekvence uravnavamo s tvorbo zmesne keramike BaTUOg in Ba2Ti902o in z dodajanjem SnC>2. Razvoj mikrovalovnih elektronskih sistemov, ki delujejo v območju frekvenc 0.4 do 3 GHz, je povečal zanimanje za mikrovalovno keramiko z visoko dielektrično konstanto, s katero lahko ohranimo primerno majhno dimenzijo resonatorja. To je predvsem keramika iz sistema BaO -Ti02 - Re2C>3 (oksidi redkih zemelj). Kolar s sodelavci (13, 14) je okarakteriziral dielektrične lastnosti sistema Ba0-Nd203-Ti02 že leta 1978 in nato tudi dokazal, da dobimo z dodatkom Bi4Ti30i2 k sestavi 1:1:5 (Ba0:Nd203:TiC>2) in 1:1:4 keramiko z nizkim temperaturnim koeficientom dielektričnosti. Kot dodatek za izboljšanje temperaturne stabilnosti se lahko uporablja tudi PbO (oziroma PbTiC>3) in Bi203 (oziroma Bi-titanat). Tabela 1: Mikrovalovne karakteristike osnovnih komponent sistema Ba0-Pb0-Nd203-Ti02 (15) spojina e Q (pri 3 GHz) T, (ppm/°C) BaNd2Ti40i2 80.8 3500 93 (Bai/2, Pbt/2)Nb2Ti40f2 89.9 1900 55 Nd2Ti207 36.5 1100 -118 NcfeTLA, 39.3 3800 60 HO2 104.1 14600 427 B»0-Pt>0-Nd,0,-Tl0, (Zr, SnlTIO,__ _n»(Zr. Zn.T.IO, — BslSn, Ms, T«)0, MgTIO,-C.TiO, BilSn, Mj, T«)Oj, Q X I - 200.000 B«(Zr. Zn, T»)0,, 0 X1- 100.000 (Zr, Sn| TiO,. O X (- 55,000 Bi0-Pt)0-Nd,0,~Ti0], O X I - 6,000 j 4 C 8 10 1J M 16 18 frequency (GHi) 35 Informacije MIDEM 23(1993)1, str.32-37 M. Valant, D. Suvorov, D. Kolar: Mikrovalovni keramični materiali „ BaNcfeTUOi 3 ima visoko dielektrično konstanto ter visok faktor kvalitete, vendar previsok temperaturni koeficient Tf. Zaradi tega temperaturni koeficient BaNd2Ti40i2 kompenziramo s tvorbo spojine Nd2Ti2C>7, ki ima v tem sistemu edina negativni temperaturni koeficient. Dodatno izboljšanje dielektričnih lastnosti je možno z vključevanjem Pb na mesto Ba, torej s tvorbo določenega deleža spojine (Bai/2Pbi/2)Ti40i2. 7mol% PbO zadostuje, da so lastnosti takšne keramike povsem primerne za izdelavo dielektričnih resonatorjev. SI. 6: D&lni fazni diagram sistema BaO-NckOz-TiOz Razvoj mikrovalovnih keramičnih materialov na IJS (odsek za keramiko) Na Odseku za keramiko Inštituta Jožef Štefan poteka razvoj mikrovalovnih materialov predvsem na materialih z dielektričnostjo od 85 do 90. Razvoj mikrovalovne keramike iz sistema BaO - B12O3 - Nd203 - Ti02 je v zaključni fazi, medtem ko je razvoj mikrovalovnih materialov iz nekaterih drugih sistemov, od katerih pričakujemo predvsem visoko dielektrično keramiko, šele v začetni fazi. Povod za začetek raziskovalnega dela na mikrovalovnih dielektričnih materialih je bil uspešen razvoj NPO kon-denzatorske keramike iz sistema BaO - Nd203 - Ti02, iz katere se še danes izdelujejo temperaturno zelo stabilni kondenzatorji, ter vse večje zanimanje za naprave, ki delujejo v mikrovalovnem frekvenčnem področju (mobilni telefoni, pagerji, mikrovalovne pečice...). Prva faza dela je zajemala prilagajanje sestave osnovnih treh komponent (BaO, Nd203, Ti02) in dodatka (Bi203>, ki izboljšuje temperaturno stabilnost keramike. Optimalno sestavo je bilo potrebno določiti na 0.1% natančno. Hkrati je bilo potrebno tudi določiti optimalne pogoje sintranja. Začetni rezultati so nakazovali zahtevnost razvoja mikrovalovne keramike tega tipa, saj so bili naši materiali znatno slabši od komercialno dosegljivih vzorcev. Tabela 2: Primerjava mikrovalovnih dielektričnih lastnosti Murata IJS | (Ba0-Pb0-Nd203-Ti02) (Ba0-Bi203-Nd203-Ti02) e 88 80 Ti(ppm/K) 0± 2 15 Q (1 GHz) 4500 <1000 Vzrok za to je predvsem v nehomogenosti izhodne;, prahu, ki je bil dobljen z mešanjem titanatov. Nehomogenost povzroči lokalno različne produkte kemijskih reakcij pri sintranju. Ti produkti imajo navadno slabše mikrovalovne dielektrične lastnosti zato smo morali zmanjšati njihovo prisotnost v sintrani keramiki. Po končani reakciji lahko takšne produkte izlužimo iz zrea-girane zmesi ali pa z večjo homogenostjo izhodnega prahu preprečimo lokalni nastanek nezaželjenih spojin. Večjo homogenost prahu smo poskušali doseči z obar-janjem raztopine BaCb, NdCfo in TiCU in naknadnim dodatkom Bh03. Obarjanje je potekalo tako, da smo najprej naredili emulzijo raztopine in parafinskega olja. Takšna emulzija vsebuje kapljice raztopine, ki niso večje od nekaj mikronov. Tako omogočimo bolj homogeno obarjanje. Emulzijo kapljamo v vroče parafinsko olje, kjer voda v trenutku odpari. Izobori se zelo homogen prah z velikostjo delcev okoli 1 um. Raziskave takšne priprave prahu še niso končane, medtem ko smo s pripravo prahu, pri kateri se iz zmesi izluži nezaželjene produkte, že dobili dobre rezultate. V ta namen izhodno mešanico titanatov najprej kalciniramo. Kalcinat zdrobimo in ga lužimo v HNO3. Predvsem z barijem bogati neodimovi titanati, ki imajo slabe dielektrične lastnosti, so bolje topni in jih na ta način uspešno ločimo od ostale zmesi. Tako pripravljena keramika s sestavo, ki je v faznem diagramu (slika št.6) blizu sestave BaO ■ Nd203 ■ 5Ti02 in ki vsebuje približno 6 ut% Bi203, je imela naslednje mikrovalovne dielektrične lastnosti: e = 83 faktor Q (pri 1 GHz) = 4100 Tf = 2 ppm/K Dopiranje te sestave z 0.15ut% MnO je še izboljšalo lastnosti, tako da je takšna keramika že komercialno uporabna. e = 85 faktor Q (pri 1 GHz) = 4800 Tf =0 ± 2 ppm/K 36 M. Valant, D. Suvorov, D. Kolar: Mikrovalovni keramični materiali „_ Informacije MIDEM 23(1993)1, str.32-37 POVZETEK Razvoj mikrovalovne keramike na Odseku za keramiko Inštituta Jožef Stefan je do sedaj potekal v dveh smereh. Za karakterizacijo mikrovalovnih lastnosti je bilo potrebno razviti merilni sistem. Izbrali smo metodo zaprte resonančne strukture, kjer postavimo dielektričen cilinder v votlinski resonator in z mikrovalovnimi frekvencami vzbujamo določen rod. Iz povratnega signala dobimo SI. 7: Mikrostruktura keramike iz sistema BhCh-BaO-NchOj-TiO? Microwave components SI. 8: Mikrovalovni resonator in mikrovaloven substrat (izdelana na IJS Odsek za keramiko) informacijo o resonančni frekvenci in o faktorju kvalitete. Dielektričnost izračunamo iz resonančne frekvence ter dimenzij dielektričnega valja. Razvoj mikrovalovne keramike iz sistema BaO - Nd203 - Ti02 z dodatkom B12O3 je praktično končan. Z optimi-ziranjem sestave, primerno množino dodatkov za izboljšanje temperaturne stabilnosti in zvišanje faktorja kvalitete ter ustrezno kemijsko in temperaturno obdela- vo prahu lahko dobimo mikrovalovni keramični material, ki povsem ustreza vsem komercialnim zahtevam. Hkrati smo tudi že nakazali možnost razvoja novih, v svetu še nepoznanih, mikrovalovnih materialov, s katerimi bi radi posegli v do sedaj še nepokrite dielektrične razrede. LITER ATURA 1.) R.D. RICHTMYER; "Dielectric Resonators";J. Applied Physics, Vol. 10, 1939, str.391 -398 2.) S. J. FIEDZIUSZKO; "Microwave Dielectric Resonators"; Microwave Journal, September 1986; str. 189-198 3.) Y. KOMATSU, Y. MURAKAMI; "Coupling Coefficient Between Microstrip Line and Dielectric Resonator"; IEEE Transactions MTT, Vol. 31 (1), 1983: str. 34-40 4.) D. KAJFEZ, P. GUILLON; House, Inc., Dedham, 1986 Dielectric Resonators "; Artech 5.) KATALOG SIEMENS; 1990/1991 'Microwave Ceramics"; Siemens, 6.) H. M. O'BRYAN.jr., J. THOMSON, J. K. PLOURDE;" Effect of Chemical Treatment on Loss Quality of Microwave Dielectric Ceramics"; Ber. Dt. Keram. Ges., Vol. 55, 1978, str. 348-351 7.) K. WAKINO, T. NISHIKAWA, Y. ISHIKAWA, H TAMURA; "Dielectric Resonator Materials and Their Applications of Mobil Communication Systems"; Ceram. Trans. J., Vol. 89, 1990, str. 39-43 8.) KATALOG TRANS-TECH; "A Designer's Guide to Microwave Dielectric Ceramics"; Trans-Tech, Inc, marec 1988 9.) H. OUCHI, S. KAWASHIMA; "Dielectric Ceramics for Microwave Application"; Jap. J. Appl. Phys.; Vol. 24, 1985; str. 60-64 10.) M. TAKATA, K. KAGEYAMA; "Microwave Characteristics of A(Bi/23+si/25+)03 Ceramics"; J. Am. Ceram. Soc., Vol. 72, 1/2 1/2 3 1989, str. 1955-59 11.) S. HIRANO, T.HAYASHI, A. HATTARI; "Chemical Processing and Microwave Characteristics of (Zr,Sn)Ti04 Microwave Dielectrics"; J. Am Ceram. Soc., Vol. 74, 1991, str. 1320-24 12.) J. K. PLOURDE, D. F. LINN. H. M. O'BRYAN, JR. J. THOMSON, JR; "Ba2TigO20 as a Microwave Dielectric Resonator"; J. Am. Ceram. Soc., Vol. 58 (9-10), 1975; str. 418-420 13.) D. KOUR, S. GABERSCEK, Z. STADLER, D. SUVOROV; "High Stability, Low Loss Dielectrics in the System Ba0-Nd203-Ti02-Bi203"; Ferroelectrics, Vol. 27, 1980, str. 14.) D. KOLAR, Z. STADLER, S. GABERSCEK, D. SUVOROV; "Ceramic and Dielectric Properties of Selected Compositions in the Ba0-Ti02-Nd203 System"; Ber. Dt. Keram. Ges., Vol. 55 (7), 1978; str. 346-348 15.) K.WAKINO, K. MINAI, H. TAMURA; "Microwave Characteristics of (Zn.Sn)TiO and Ba0-Pb0-Nd203-TiC>2 Dielectric Resonators"; J. Am. Ceram. Soc., Vol. 67 (4), 1984; str. 278-281 Matjaž Valant, dipl. ing. doc.dr. Danilo Suvorov,dipl.ing. prof. dr. Drago Kolar, dipl. ing IJS, Jamova 39 Ljubljana Sprejeto: 25.2.93 Prispelo: 19.1.93 37 UDK 621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 23(1993)1, Ljubljana FERROELECTRIC LIQUID CRYSTAL-POLYMER GEL DISPLAYS J.Pirš, B.Marin, S.Pirš Keywords: television displays, television projectors, LCD displays, ferroelectric liquid crystals, FLC molecules, electrooptical properties, memory properties, molecular ordering, ordering stabilization, mechanical stability, novel technology, gel solution, gel monomer, polymerizing, switching times, short times Abstract: Complex molecular ordering in ferroelectric liquid crystals(FLC), unlike in simple, standard nematic liquid crystals, enables the manufacturing of liquid crystal displays (LCD) with inherent memory properties and standard TV compatible electrooptic switching speeds. However under the present state of the art FLC displays are unstable against mechanical shock and therefore still unreliable. This paper presents a novel technological solution that enables the stabilization of the FLC molecular ordering through entire volume of the FLC cell. Volume stabilization is achieved by the LC/polymer gel dispersion which is prepared by polymerizing a gel monomer solution in suitably aligned ferroelectric display cell. The volume stabilized LC cell gives mechanical stability to FLC displays with internal memory and provides for high contrast, high speed shuttering. Prikazovalnik na osnovi feroelektričnih tekočih kristalov in polimernih gelov Ključne besede: zasloni televizijski, projektorji televizijski, LCD zasloni, FLC kristali tekoči feroelektrični, FCL molekule, lastnosti elektrooptične, lastnosti pomnilniške, urejenost molekularna, stabilizacija urejenosti, stabilnost mehanska, tehnologije nove, raztopina želatine, želatina monomer-na, polimerizacija, časi preklopni, časi kratki Povzetek: Za razliko od standardnih nematskih tekočih kristalov omogoča kompleksnejša urejenost molekul feroelektričnih tekočih kristalov (FLC) izdelava tekočekrlstalnih prikazovalnikov (LCD) s spominskimi lastnostmi in hitrostjo preklopnih časov, ki je kompatibilna s standardnimi TV signali. Na žalost standardni FLC prikazalniki še niso mehansko dovolj stabilni in zato niso primerni za praktično uporabo. Članek podaja novo tehnološko rešitev, ki omogoča stabilizacijo urejenosti molekul FCL po vsej prostornini FCL celice. Volumsko stabilizacijo urejenosti molekul zagotavlja v kristal vgrajena urejena pollmerna mreža, ki nastane pri feroelektričnem tekočem kristalu. Vakuumska stabilizacija zagotavlja mehansko stabilnost FCL prikazalnikov hkrati pa ohranja spominske lastnosti ter hitre preklopne čase. Introduction Since 1980 when N.Clark and S.T.Lagerwall1,2 introduced the surface stabilized ferroelectric LC cell (SSFLC), a number of improvements3,4,5 of this technology has been made, to the point, where commercial flat-panel displays are being announced. The principal advantage this device brings is a significantly faster switching rate and even more important, a memory effect which makes it possible to use greatly simplified electronic driving schemes. All requirements are met by a passive matrix ratherthan by an active one, which is requiredfortwisted nematic (TN) liquid crystal cells. The basic operating principles of the FLC displays are demonstrated in figures 1 and 2(14). Unlike in standard nematic liquid crystals (NLC),the FLC molecules are not just oriented parallel, but they tend to form monomole-cular layers within which the FLC molecules are parallel and tilted for an angle 0 from the layer plane normal. Since FLC molecules don't have a center of symmetry (chiral molecules), such molecular orientation allows for the appearance of the net spontaneous electric polarization. During the display manufacturing process the FLC is placed between two glass walls with transparent electrodes covered with adequate orienting layers (typical display configuration!). The FLC is than cooled down through various phase transitions from the isotropic, through nematic, smectic SmA into the ferroelectric smectic SmC' phase. The FLC molecules orient themselves in layers perpendicular to the glass surfaces and to the direction of the orienting layers. If the thickness of the display glass cell is small enough (1-3 |jm), the FLC molecules due to their tilted orientation in the SmC* layers can assume only two orientations: +0 or -0 with respect to the smectic layer normal (see fig. 1). The electrical polarization (P) corresponding to these two allowed molecular orientations is perpendicular to the display cell walls and can either point "UP" (+0) or "DOWN" (-0). Both orientations are equivalent as far as energy is concerned and therefore bistable (memory!!), 38 J. Pirš, B. Marin, S. Pirš: Ferroelectric liquid crystal-polymer gel displays_ Informacije MIDEM 23(1993)1, str.38-42 plates srrectic C' layers Fig. 1: Schematic presentation of the smectic FLC molecular layers and molecular orientation in the "Surface stabilized FLC displays" but can be switched from one to another by means of the pulses of electric field. These two allowed molecular orientations can be optically distinguished by means of the crossed polarizers, where the polarizing axis of one polarizer is parallel to one of the allowed molecular orientations (+ 0 or -0) -see fig 2. If the FLC molecular tilt angle is 22.5° and if the display cell thickness is chosen so that the FLC layer acts as a half- wave plate, such a sandwich of FLC display cell between crossed polarizers acts as electrically switchable optical light shutter with internal memory- up state dark down slate bnyhî A major drawback of the present state of art of the above described surface stabilized ferroelectric liquid crystal (SSFLC) displays is, that they are unstable against mechanical shock. The origin of this problem is the shrinking of smectic layers at the SmA to SmC* phase transition due to the molecular tilt in the ferroelectric SmC* phase. In order to avoid a dilation in the confined geometry of the cell, a well known chevron structure appears 9'10'11. This structure critically depends on mechanical stresses causing local flow which results in an irreversible breaking of the smectic planes. Fig. 2: Operating principle of the FLC display Here we propose a solution to the problem of the instability in the SSFLC cell by the addition of gel polymers to stabilize the cell geometry as well as to volume stabilize the FLC order. The concept of "volume stabilization" of the molecular ordering in FLC is based on the polymerization of a small amount of gel monomer added to the FLC. The amount of the added monomer is small enough so, that it does not significantly affect molecular ordering or alignment of the FLC material. The polymerization of the monomer is "initiated" when the molecules of the FLC acquire the desired orientation determined by the boundary conditions and/or interactions with orienting magnetic/electric field. Underthese conditions they polymerize in a highly anisotropic texture. Such a polymer forms a network through the entire LC and volume stabilizes the LC cell geometry as well as the microscopic ferroelectric ordering of the LC molecules. It is also important, that the polymer network formed in the LC, hinder the mechanical flow of the LC under mechanical stress. Volume stabilization of the cell can be achieved with a relatively small concentration of the monomer additives « 0.5-3%. Experimental The sample "volume stabilized" FLC (VSFLC) display cells were made in almost the same way as the conventional surface stabilized ferroelectric liquid crystal (SSFLC) displays (- ITO covered flat glass plates with rubbed nylon orienting layer separated by = 3 nm spacers, sealed with UV curable epoxy sealant and vacuum filled with E.Merck ZLI 4237-100 FLC mixture and 0.5-3% addition of acrylate Desolite D044 of DSM Resins International). The cells were filled at an elevated temperature = >95°C (- isotropic phase) then slowly cooled through nematic and SmA phase Into the SmC* phase. As the FLC ZLI 4237-100 experiences a pitch of 10 (am in the SmC' phase, the cell thickness was sufficiently small In order to unwind the helical SmC* structure Together with the homogeneous boundary conditions caused by rubbed nylon, this resulted in a homogeneous chevron SSFLC molecular ordering. Using strong (80 V), slowly alternating (3Hz) electric field pulses a well known stripe texture was obtained (fig 3). The competing effects of the molecular tilt on the nylon orienting layer, chevron structure and the electric field induced stripe texture resulted in a very small angle (few degrees) 39 Informacije MIDEM 23(1993)1, str.38-42 J. Pirs, B. Marin, S. Pirs: Ferroelectric liquid _crystal-polymer gel displays between the molecular layer orientation in the consecutive stripes (see fig 3) allowing for high contrast = 20 in the transmisive mode. Due to a "bookshelf structure" in the stripe texture a very good bistability as well as very high angle between both optical states (> 40°) was obtained. The best results were obtained when the polymerization was induced in the ferroelectric SmC' phase, however the ordered polymer texture was formed in the SmA and in the nematic phase as well. The results were not significantly different, except that the amount of polymer microdroplets was much smaller/negligible in the nematic phase due to significantly higher solubility of the monomer in the nematic phase. The ordered phase separated polymer network structure in the ordered FLC layer was reasonably well confirmed by the electron microscopy. Figures 4 and 5 show TEM micrographs of the polymer network in an FLC/polymer gel containing 98% of ZLI 4237-100 and 2% of Desolite D 044 acrylate. Fig. 3: Schematic presentation of the electric field induced stripe texture in thin layer of FLC As the FLC/monomer dispersion was filled in the FLC display cell at an elevated temperature (-in the isotropic phase of FLC component), it had to be cooled down into the ferroelectric SmC' phase. The cooling of the FLC/monomer dispersion and especially the structural changes due to phase transitions reducedthe solubility of the monomerinthe FLC so, that it partially phase separated in the form of microdroplets. Most of these microdroplets formed predominantly on the cell walls were very small (< 1 |am), however some of these droplets grew as large as = 10 |am. These "giant" microdroplets linked both boundary glass plates of the LCD cell and after polymerization acted at the same time as sealant and spacers. This polymer droplet formation efficiently stabilized the "geometry" of the LC cell. Fig. 4: TEM micrograph of the ordered polymer texture formed during UV activated polymerization process in the 2% FLC/polymer gel (magnification 2400) When the FLC/monomer dispersion was cooled down in the ordered liquid crystalline phase, the photopolyme-rization of the the monomer was induced using the 150 W UV light source (360 nm) forfew minutes. The applied UV light caused the polymerization of the monomer microdroplets as well as the phase separation of the rest of the monomer molecules, that were until that time still "dissolved" in the FLC material. These monomer molecules polymerizing in the ordered FLC medium, formed a well ordered polymer network that reflected the molecular ordering (see fig.4). The chevron defect walls between the consecutive stripes (see fig. 3) acting as polymerization centers induced the polymer phase separation during the UV polymerization process. So the oriented polymer network was formed predominantly along the chevron defect walls (see fig 4) efficiently stabilizing the stripe texture orientation of the FLC. This structure remained preserved even when the FLC was heated above the isotropic phase transition and then cooled back into the ferroelectric SmC* phase. Fig. 5: TEM micrograph of the detail of the polymer texture on Fig.4 (magnification 40000) The TEM micrograph clearly shows the ordered polymer texture and the small polymer microdroplets that "condensed" on the cell walls during the cooling down process. The "giant microdroplets" can be seen (Figure 6) underpolarizing microscope. TEM micrograph as well as polarizing microscope analysis give only a qualitative 40 J. Pirs, B. Marin, S. Pirs: Ferroelectric liquid crystal-polymer gel displays_ Informacije MIDEM 23(1993)1, str.38-42 The results show high contrast, excellent testability (<= 100%), as well as high switching rates (<300 us) and high optical contrasts (see Fig.7). Fig. 6: Polarizing microscope picture of the stripe texture in FLC showing "giant microdroplets" . (dark dots) as well as the regular microdroplets picture of the polymer network since the ordered polymer texture is very fragile and could be easily partly flushed away during the sample preparation. As long as the polymer concentration was kept low (<4%), the ordered polymer network in the FLC/polymer gel oriented layer did not appreciably affect the FLC molecular order. Therefore the electrooptical properties of these composite ferroelectric materials remained almost identical to the properties of the pure FLC materials. These properties were measured using crossed polarizers in the same configuration as with standard FLC displays (see fig. 2). A 3 ¡am thick oriented FLC/polymer gel layer was oriented so that one of the polarizing axis was oriented along the "symmetry" axis between the orientations of the molecules in two parallel stripes (see Fig.3). time Fig. 7: Time dependence of the transmission T of the volume stabilized FLC display showing fast switching (upper oscilloscope trace)as well as excellent bistability of both optical states (lower oscilloscope trace). Conclusion The FLC/polymer gel displays maintain the electrooptic properties of the standard SSFLC cell but with substantially improved stability against mechanical stress. Due to extremely fast switching times (-compatible to the standard TV signals!) and inherent memory effects the electronic driving circuitry can be significantly reduced and simplified compared to the standard active matrix nematic liquid crystal displays, that presently offer the only acceptable solution for the flat, low power, high definition TV screen. Since these displays do not require the sophisticated active matrix driving, the density of information can be substantially improved (thin film active elements on each pixel take a lot of space!), which is especially important for large screen high information content projection devices. REFERENCES 1. Surface-stabilized Ferroelectric Liquid Crystal Electro-optics: New Multistate Structures And Devices, Noel A.Clark and Sven T.Lagerwall, Ferroelectrics 59, 25-67, (1984). 2. Solid and Liquid Crystalline Ferroelectrics and Anti-ferroelectrics, R.BIinc,Condensed Matter News. 1, 17,(1991). 3. A Method for Director Alignment of SMC* Devices, Philip J.Bos and K.Rickey Koehler-Beran, Ferroelectrics, 85, 15-24, (1988). 4. Alignment of Ferroelectric Liquid Crystals on Commercially Available Polylmides, Bernt O.Myrvold, Ferroelectrics 85, 25- 30, (1988). 5. AC Electric Field Induced Helix Unwinding in Planar Texture of a Ferroelectric Liquid Crystal, Z.H.Wang, Z.M.Sun, and D.Feng, Liquid Crystals 9, 863-871, (1991). 6. Electrically Induced Light Scattering from Anisotropic Gels, R.A.M.Hikmet, J.Appl.Phys 68, 4406 (1990). 7. Anisotropic Gels and Plasticlzed Networks Formed by Liquid Crystal Molecules, R.A.M.Hikmet, Liquid Crystals 9, 405-416 (1991). 8. Gel Layers for Inducing Adjustable Pretilt Angles in Liquid Crystal Systems, R.A.M.Hikmet and C.de Witz, J.Appl.Phys. 70, 1265 (1991). 9. Smectic-c "chevron", A Planar Liquid-crystal Defect: Implications for the Surface-stabilized Ferroelectric Liquid Crystal Geometry, N.A.Clark and T.P.Rieker, Physical Rev.A 37, 1053 (1988). 10. The Field Induced Stripe Texture in Surface Stabilized Ferroelectric Liquid Crystal Cells, Ren Fan Shao, Paula C.Willis, and Noel A.Clark, Ferroelectrics 121, 127-136 (1991). 11. Description of Field-induced Director Patterns in Surface-stabilized Ferroelectric Liquid Crystal Structures in Terms of Smectic Layer Bending, W.J.A.M.Hartman, G.Vertogen, C.J.Gerritsma, H.A.V.Sprang, and A.G.H.Verhulst, Europhys.Lett. 10 657-661 (1989). 12. A New Type of Layer Structure Defects in Chiral Smectics, J.Pavel and M.GIogarova, Liquid Crystals 9, 87-93 (1991). 41 Informacije MIDEM 23(1993)1, str.38-42 J. Pirš, B. Marin, S. Pirš: Ferroelectric liquid _crystal-polymer gel displays 13. Handbook of Liquid Crystals, H.Kelker, R.Hatz: Verlag Chemie GmbH, 1980 14. Liquid Crystal Displays with High Information Content, B.S.Scheuble, SID SYmposium, Annaheim, USA 1988 Prispelo: 27.1.93 dr. Janez Pirš, dipl. ing. mgr. Bojan Marin, dipl. ing. Silva Pirš ing Inštitut Jožef Štefan Ljubljana, Jamova 39, Slovenija Sprejeto: 25.2.93 42 UDK621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 23(1993)1, Ljubljana METALOKSIDNI (ZnO) VARISTORJI Janez Benda Ključne besede: varistorji kovinooksidni, ZnO varistorji, varistorji visokoenergijski, uporaba, zaščita prenapetostna, elementi zaščitni Povzetek: V članku so naštete nekatere pomembnejše prednosti metaloksidnih (ZnO) varistorjev pred ostalimi zaščitnimi elementi. Opisane in prikazane so tudi nekatere najpogostejše aplikacije ZnO varistorjev. Metal Oxide (ZnO) Varistors Keywords: metal oxide varistors, ZnO varistors, high energy varistors, applications, high voltage protection, protection elements Abstract: The most important a dvantages of metal oxide varistors over other protection elements are given in the present article. Some frequent applications are described. One of the few disadvantages of the semiconductor is its sensitivity to overvoltages. Since more and more electronic components are used in all fields of electrical engineering, optimum surge voltage protection has become an aspect of fundamental importance In this respect, metal oxide varistors have proven to be indispensable protective elements owing to their virtually universal range of applications and their high reliability. ZnO varistors are symmetrical voltage-dependent non-linear resistors that are made primarily of zinc oxide and in formed disc configurations which are sintered at high temperatures. Varistors are voltage-dependent resistors with symmetrical voltage / current characteristics. Their impedance is a nonlinear inverse function of applied voltage. At rated voltage their impedance is high. When a higher voltage transient appears across the varistor, its impedance sharply decreases to a low value. This transient voltage is clamped to a safe level and the energy in the transient is dissipated in the varistor. Metal oxide varistors are very widely used in appliances connected to 110 V or 220 V AC for their protection. Typical applications include: - Protection of semiconductors such as diodes, transistors, thyristors, triacs, IC's etc. - Protection of equipment connected to telephone or signal lines such as security systems, fire alarm systems etc. - Protection of instrument input terminals from accidentally connected high voltage. - Arc suppression across relays and switch contacts. - Industrial equipment vulnerable to transients on the AC power lines such as computers, traffic controls, motor controllers etc. - Stabilization of the circuit voltage in various equipment. Metaloksldni (ZnO) varistor je napetostno odvisen, torej nelinearni upor z U-l karakteristiko, ki je podobna karakteristiki Zenerjeve diode v zaporni smeri, s to razliko, da je karakteristika varistorja simetrična. Tok skozi varistor je eksponencialno odvisen od napetosti na varistorju. Odvisnost je podana z izrazom I = K U", kjer je K konstanta in a nelinearni koeficient. Karakteristika varistorja je izrazitejša (strmejša), čim večja je vrednost nelinearnega koeficienta . Če se pojavi visokonapetostni impulz, se upornost varistorja naglo zmanjša z zelo velike vrednosti na nivo dobre prevodnosti. Varistor tako absorbira energijo škodljive visokonapetostne konice in zniža napetost na nenevaren nivo ter tako zaščiti občutljive elektronske elemente in naprave pred poškodbami. Metaloksidni (ZnO) varistor se odlikuje zlasti po relativno kratkem odzivnem času, veliki energijski absorbciji, velikem koeficientu nelinearnosti a,... Zaradi teh dobrih lastnosti in vse nižje cene se ta varistor vse več uporablja tako v profesionalni, kot tudi široki potrošnji. Pri tem pa tudi zaradi svojih izrednih lastnosti uspešno nadomešča druge zaščitne elemente (zlasti plinski odvodnik in SiC varistor). Plinski odvodniki imajo sicer relativno visoko sposobnost energijske absorbcije, vendar pa imajo izredno dolg odzivni čas, kar pa je za sodobne elektronske elemente in naprave, žal, neuporabno. Ti zaščitni elementi se zato uporabljajo največkrat le v kombinaciji z drugimi (predvsem z metaloksidnimi varistorji). SiC varistorji imajo visoko sposobnost energijske absorbcije, njihova velika slaba lastnost pa je izredno majhna vrednost nelinearnega koeficienta a. To pa povzroča velike preostale napetosti na teh elementih in uporabo teh varistorjev le v seriji z iskrišči ali plinskimi odvodniki. SiC varistorje so že skoraj popolnoma zamenjali boljši metaloksidni varistorji. Supresorske diode imajo veliko vrednost nelinearnega koeficienta, kar pomeni relativno nizko preostalo napetost na teh elementih. Imajo pa tudi slabo lastnost, ker ne prenesejo velikih tokov, s tem pa tudi energij. 43 Informacije MIDEM 23(1993)1, str.21-26 A. Živič, Z. Živič: Red Ferrite Technology - Dream or. Uporabniki naših metaloksidnih varistorjev se ukvarjajo z najrazličnejšo elektroniko pa tudi elektrotehniko. Velik odjemalec varistorjev so proizvajalci telekomunikacijske opreme (zlasti telefonskih central). Tu je potrebno omeniti, da so uporabniki varistorjev poleg proizvajalcev telekomunikacijske opreme tudi pošte. Drugo veliko področje uporabe naših varistorjev pa je t. i. zabavna elektronika, oz. neprofesionalna elektronika, kamor štejemo tudi različne gospodinjske aparate,... Tudi uporabniki naših varistorjev v profesionalne namene so zelo številni. Glavna in zelo široka področja uporabe metaloksidnih varistorjev so zlasti : - telekomunikacije - močnostna elektronika - usmerniška elektronika - merilna in regulacijska tehnika - procesna tehnika - računalništvo - industrijska elektronika - medicinska oprema - gospodinjska tehnika - avtomobilska elektronika - zabavna elektronika - kontaktna tehnika - električna vozila Telekomunikacije Na tem področju je zlasti pomembna zaščita v telefoniji. Tu je posebno potrebno omeniti zaščito telefonskih central in telefonskih aparatov pred prenapetostnimi konicami, ki so lahko različnih vzrokov (atmosferske praz-nitve, vplivi energetskih vodov,...). Tako lahko na različne načine (odvisno od lokacije telefonskih naročnikov in telefonckih central) izvedemo tako zaščito. Najučinkovitejša varistorska prenapetostna zaščita je povezava ustreznih varistorjev med linijo a in b, med linijo a in ozemljitvijo ter med linijo b in ozemljitvijo. Za posebne primere pa dodamo še hitre bidirekcionalne diode. Na samem začetku zaščite je priporočljivo ustrezno montirati še plinske odvodnike. Taka zaščita je zeloprimerna na strani telefonskih central, na strani telefonskih aparatov (telefaxov,...) pa je tudi potrebna podobna zaščita, ker z njo ščitimo svoj telefoski aparat. Take zaščite so nujno potrebne zlasti pri elektronskih telefonskih centralah in elektronskih telefonskih aparatih (telefaxih.,.). Močnostna elektronika Problem, ki tu izstopa, je vsekakor zaščititi sam element in okolico pri delovanju tiristorjev. To lahko učinkovito storimo z ustreznim metaloksidnim varistorjem, ki ga priključimo med anodo in katodo tiristorja. Usmerniška elektronika Varistorsko zaščito namestimo takoj za transformatorjem, oz. pred diodnim mostičkom za usmerjanje. Pri enofaznem usmerniškem vezju namestimo varistormed fazni in ničelni vodnik, pri trifaznem pa med posamezne fazne vodnike. Merilna in regulacijska tehnika Tudi merilna in regulacijska tehnika je izredno občutljiva na prenapetostne konice. Tudi tu pride do izraza podobna zaščita kot pri močnostni elektroniki (zaščititi je namreč potrebno tiristorje pri različnih regulacijah). Procesna tehnika in računalništvo Tu je izredno pomembna zaščita takih sistemov pred prenapetostmi, ki prihajajo prek napajalnega dela, torej prek omrežja. Zato je potrebno poleg kvalitetnega RF filtra namestiti tudi dobro prenapetostno zaščito. To izvedemo tako, da priklopimo ustrezen varistor med fazni in ničelni vodnik, med fazni in ozemljitveni vodnik ter med ničelni in ozemljitveni vodnik. Priporočljivo je poleg varistorjev na enak način vgraditi še tranzientne diode. Prav tako je tudi pomembna zaščita podatkovnih linij. Način zaščite je podoben ukrepom, ki so bili opisani pri zaščiti pred prenapetostmi, ki prihajajo prek omrežja, s to razliko, da je potrebno v tem primeru izbrati ustrezne elemente (varistorje, diode, tuljave). Kontaktna tehnika Znano je, da se pri vklapljanju in izklapljanju induktivnih bremen pojavljajo visokonapetostne konice na kontaktih relejev in kontaktorjev, ki med drugim povzročajo hitro uničenje kontaktov. Zato je potrebno namestiti varistor paralelno k tuljavi ali paralelno h kontaktu. To je še posebno pomembno, kadar namesto stikal uporabljamo tranzistorje. Stabilizacija enosmernih napetosti Varistorje zelo primeren za stabilizacijo višjih enosmernih napetosti, kjer navadno ni na razpolago Zenerjevih 44 J. Benda: Metaloksidni (ZnO) varistorji Informacije MIDEM 23(1993)1, str.43-49 Stabilizacija enosmernih napetosti Varistor je zelo primeren za stabilizacijo višjih enosmernih napetosti, kjer navadno ni na razpolago Zenerjevih diod. Možna bi bila le povezava velikega števila Zenerjevih diod v serijo, kar pa se odraža na visoki ceni in veliki porabi prostora. Varistorji so tudi nepogrešljivi v televizijski tehniki. Tako ga lahko izredno uspešno uporabimo v vertikalnem sistemu v televizorju, kjer z njim preprečujemo visokonapetostne konice, ki lahko uničijo tranzistor, ki med drugim napaja odklonske tuljave. Transistor zavarujemo tako, da mu vežemo med emitor in kolektor ustrezen varistor. Pomembna je tudi zaščita radijskih in TV aparatov, videorekorderjev, gramofonov, skratka vse zabavne elektronike, različnih instrumentov (osciloskopi, razni merilniki, funkcijski generatorji,...), gospodinjskih aparatov,... V ta namen je izredno učinkovita naslednja zaščita pred prenapetostmi iz omrežja: med faznim in ničelnim vodnikom namestimo varistor. Varistor prav tako priključimo tud med fazni in ozemljitveni vodnik ter med ničelni in ozemljitveni vodnik. Nekateri konkretni primeri uporabe metaloksidnih varistorjev - NAPAJANJE NAPRAV IZ ENOFAZNEGA OMREŽJA F ! I MOV NAPRAVA, KI JO SCITIt« MOV r-----, NAPRAVA, KI JO ŠČITIMO i.......... ! Jac F Sv MOV ft___ I ! MOV TT NAPRAVA, KI JO ŠČITIMO SI. 1: Različni načini zaščite naprav pred prenapetostmi iz enofaznega omrežja 45 Informacije MIDEM 23(1993)1, str.21-26 A. Živič, Z. Živič: Red Ferrite Technology - Dream or. NAPAJANJE NAPRAV IZ TRIFAZNEGA OMREŽJA R S T SI. 2: Zaščita naprav pred prenapetostmi iz trifaznega omrežja - TIRISTORSKO VEZJE - VEZJA Z RELEJI MOV a =>> L I SI. 3: Prenapetostna zaščita tristorja TIRISTOR MOV TRANZISTORSKI VKLOP IN IZKLOP INDUKTIVNIH BREMEN SI. 5: Prenapetostna zaščita z vezavo varistorja na breme MOV M oŠ L NOV SI. 4: Zaščita elementov pri vklapljanju in izklaplanju SI. 6: Prenapetostna zaščita z vezavo varistorja med induktivnih bremen kontakte releja 46 J. Benda: Metaloksidni (ZnO) varistorji Informacije MIDEM 23(1993)1, str.43-49 SI. 7: Razmere v vezju brez uporabe varistorja SI. 8: Razmere v vezju z uporabo ustreznega varistorja 47 Informacije MIDEM 23(1993)1, str.21-26 A. Živič, Z. Živič: Red Ferrite Technology - Dream or. PODATKOVNE LINIJE L o G cv O L' L' « G SI. 9: Zaščita podatkovnih linij TELEKOMUNIKACIJSKE LINIJE MOV MOV a » G o MOV T T x \ 1 \ -c1 a *■---« G SI. 10: Primer zaščite komunikacijskih linij VEZJA ZA STABILIZACIJO VIŠJIH ENOSMERNIH NAPETOSTI Nekaj o aplikacijah visokoenergijskih varistorjev Zelo pomembna je zamenjava SiC varistorjev serijsko povezanih z iskrišči z mnogo boljšimi visokoenergijskimi metaloksidnimi (ZnO) varistorji. Omenjena uporaba ZnO varistorjev je najpogostejša v elektroenergetiki in povsod tam, kjer to narekujejo potrebe po zaščiti elementov in naprav pred vplivi visokonapetostnih konic višjih energijskih vsebnosti. Visokoenergijski (ZnO) varistorji so nepogrešljivi v t.i. močnostni elektroniki, kjer učinkovito rešujejo zaščito samih elementov inokolice pri delovanju tiristorjev. Take probleme rešujemo z ustreznimi visokoenergijskimi metaloksidnimi varistorji, ki jih priključimo med anodami in katodami tiristorjev. SI. 11: Primer uporabe varistorja za stabilizacijo višjih enosmernih napetosti Zanimivo področje uporabe visokoenergijskih varistorjev je tudi usmerniška elektronika. V takih primerih namestimo varistorje med fazni in ničelni vodnik takoj za transformatorji, oz. pred diodami za usmerjanje. 48 J. Benda: Metaloksidni (ZnO) varistorji Informacije MIDEM 23(1993)1, str.43-49 Visokoenergijski metaloksidni varistorji so tudi izredno uporabni za zaščito občutljivih naprav v različnih objektih (stanovanjske hiše, delavnice, razni objekti,...) pred škodljivimi vplivi visokonapetostnih konic, ki so lahko najrazličnejših vzrokov (atmosferske razelektritve,...). V takem primeru vsak fazni vodnik in ničelni vodnik povežemo prek ustreznega varistorja na ozemljitveni vodnik. Varistorje uporabljamo tudi v kontaktni tehniki. Znano je, da se pri vklapljanju in izklapljanju induktivnih bremen pojavljajo visokonapetostne konice na kontaktih kontak-torjev, ki med drugim povzročajo hitro uničenje kontaktov. Zato je potrebno namestiti ustrezne varistorje paralelno k tuljavam ali paralelelno h kontaktom. To je še posebno pomembno, kadar namesto stikal uporabljamo polprevodnike elemente. Nazivne napetosti Un varistorjev z radialnimi priključki se gibljejo od 18 V pa vse do 1800 V. Efektivne sinusne napetosti, ki so lahko trajno priključene na omenjenih varistorjih pa znašajo od 14 V do 1465 V. Pri standardnih izvedbah visokoenergijskih varistorjev pa nazivne napetosti Un znašajo do 1200 V. Poudariti je potrebno, da na kupčevo željo lahko izdelamo vse prej omenjene varistorje tudi z drugačnimi na-zivnimi napetostmi, kot jih zajema standardni program proizvodnje. Janez Benda, dipl. ing. Iskra VARISTOR, d.o.o. Stegne 35 61000 Ljubljana Podjetje Iskra VARISTOR proizvaja različne izvedbe metaloksidnih (ZnO) varistorjev z radialnimi priključki Prispelo: 19.1.93 Sprejeto: 10.2.93 (modeli K5, K7, K10, K14 ter K20) in visokoenergijske varistorje. 49 Informacije MIDEM 23(1993)1, Ljubljana INDUSTRIJSKA LASTNINA Ključne besede: lastnina intelektualna, lastnina industrijska, izumi, patenti, vzorci, modeli, znamke blagovne, znamke storitvene, označbe porekla blaga, informatika Povzetek: Podani so osnovni pojmi o intelektualni lastnini, avtorskem pravu in industrijski lastnini s posebnim poudarkom na patentih in patentni Informatiki. Keywords: intellectual property, industrial property, inventions, patents, designs, models, trade marks, service marks, appellations of origin, informatics UVOD Znanje kot nematerialna dobrina je v gospodarskem življenju pomembno kot tržno blago le, če je ustrezno zavarovano. Samo tisto znanje, ki ni vsakomur brezplačno dostopno, ima tržno vrednost. Pravna zaščita znanja kot intelektualne stvaritve je vsebovana v pravicah, ki jih določa pravo intelektualne lastnine s pravnimi normami za zaščito stvaritev človeškega duha, torej nematerialnih stvaritev. Pravo intelektualne lastnine sestavljata dve veji prava: pravo industrijske lastnine in avtorsko pravo. Avtorsko pravo sestavljajo pravne norme, katerih namen je zaščita netehniškega področja človeške ustvarjalnosti, ki v pretežni meri zadovoljuje človekovo potrebo za lepim, torej avtorska dela. Industrijska lastnina je pojem, ki je bil uveden v prvem francoskem zakonu tega področja iz leta 1871. Od takrat je sčasoma postal generična oznaka za množico intelektualnih vrednot, proizvodov človeškega duha, ki se uporabljajo v gospodarskem življenju. Pravo industrijske lastnine s svojimi pravnimi normami ščiti tisti del človeške ustvarjalnosti, ki je praktično koristen, to je tehniški del ustvarjalnosti. Pravna oblika zaščite po pravu o industrijski lastnini so: patenti, vzorci in modeli, blagovne in storitvene znamke ter označbe porekla blaga. Ta sestavek ima poudarek na patentih, ki pravno ščitijo tehniške dosežke človeške ustvarjalnosti. PATENTI Pojem patenta izhaja iz latinske besede patens, ki pomeni odprt, javen. Prvotno je bilo to javno pismo ali listina (lat: literae patentes od latinske besede litera, literae, ki pomeni slovensko črka. Plural v latinščini je literae: vse, kar je napisano, rokopis, spis, listine. Podobno kot v latinščini je patent poimenovan tudi po angleško letters patent od angleške besede letter.ki pomeni črka, pismo, v množini pa angleška beseda letters pomeni: uradno pismo, listina, dokument). Sedanji pomen besede patent je zaščitno pismo, listina, ki daje nosilcu (titularju) izključno pravico do uporabe izuma. Pravni pomen izključnosti pravic je v tem, da s pravicami, ki jih daje patent, lahko razpolaga samo nosilec (titular) teh pravic, ostali pa samo s privoljenjem nosilca pravic. Imetniku patenta dajejo te pravice določen privilegiran, imenovan tudi monopolen položaj, nasproti tistim, ki teh pravic za določen izum nimajo. Ta ustvarjalni monopol se nanaša samo na stvaritve, ki so v svetovnem merilu nove, je omejeno uporaben in konkurira s prejšnjimi stvaritvami tako, da predstavlja glede na nje izboljšave, pocenitve, s tem pa vzpodbuja konkurenco. To pa je bistveni namen patenta, saj predstavlja prisilo k razmišljanju, kar ima za posledico mobilizacijo ustvarjalnosti, ki edina zmore nuditi nove stvaritve. Te pa so gonilo tehniškega napredka. Poudariti je potrebno, da patentne pravice podeljujejo posamezne države po svojih zakonodajah in samo na področju svojih držav. Patent daje torej titularju teritorialno omejen monopol. To pa pomeni, da je potrebno za uveljavitev pravic po patentni zakonodaji prijaviti izum v vsaki posamezni državi, v kateri bi izumitelj želel doseči zaščito svojega izuma, posebej. Izum, ki naj bi dobil pravno varstvo s patentom, mora biti nova rešitev opredeljenega tehniškega problema, dosežena z ustvarjalnim delom na ravni izumiteljstva, industrijsko in tehniško izvedljiv ter uporaben v Industrijski ali drugi gospodarski dejavnosti. Navedeni kriteriji povedo, da je s patentom opisano novo, konkretno znanje, ki do prijave izuma, imenovane patentna prijava, ni bilo nikjer v svetu dotlej publicirano. Pomembna je tudi tehniška izvedljivost prijavljenega izuma v tem smislu, da lahko povprečen strokovnjak področja, v katerega je možno šteti prijavljeni izum, v patentni prijavi opisano napravo realizira, da deluje tako, kot je navedeno v prijavi. Da bi izum dobil pravno zaščito, mora biti po predpisanem postopku prijavljen pri pooblaščenem državnem organu, pri nas Uradu Republike Slovenije za varstvo industrijske lastnine (v nadaljnem besedilu Urad). Ta organ po predpisanem postopku ugotovi, če izum ustreza določenim kriterijem za pridobitev pravice zaščite kot patent. Od formalne prijave izuma Uradu do podelitve patenta mora ta izum iti skozi faze tako imenovanega preizkusa, ki jih predpisujejo zakoni o patentih posameznih držav. V postopkih preizkusa ugotavlja preizkuševalec Urada predvsem novost izuma v svetovnem merilu zlasti za tiste lastnosti izuma, za katere je v prijavi zahtevana zaščita. Ta del prijave se imenuje patentni zahtevki. Vsak patent vsebuje izum, vsak izum pa ne ustreza kriterijem za podelitev patenta. 50 Informacije MIDEM 23(1993)1, Ljubljana Odkritja, znanstvene teorije, matematične metode, računalniški programi in druga pravila, načrti, metode in postopki za duhovno aktivnost neposredno kot taki ne štejejo za izume. Izum je nov, če do datuma vložitve zahteve za priznanje patenta (v nadaljnem besedilu patentna prijava) ni bil obsežen s stanjem tehnike v svetovnem merilu. Šteje se, da je izum obsežen s stanjem tehnike, če je postal dostopen javnosti z objavo, razstavitvijo, prikazovanjem ali uporabo na način, ki omogoča strokovnjakom, da ga lahko uporabljajo oziroma če se ne razlikuje od izumov, ki so bili prej prijavljeni ali so bili pred vložitvijo patentne prijave dostopni javnosti, razen od tistih izumov, za katere je bila prijava umaknjena pred objavo odločbe o priznanju patenta. Državni urad, pri katerem je bil izum prijavljen, po določenem obdobju (v Republiki Sloveniji načelno po poteku osemnajstih mesecev, na zahtevo prijavitelja patenta pa po preteku treh mesecev) od datuma, ko je bila vložena prijava oziroma od datuma, ko je bila zahtevana prednostna pravica, v svojem uradnem glasilu (v Republiki Sloveniji je to glasilo imenovano Bilten za industrijsko lastnino), objavi izvleček patentne prijave z morebitnimi skicami. Po tej objavi je prijava izuma dostopna vsaki zainteresirani osebi, ki jo lahko legalno uporablja vse dotlej, dokler državni urad za prijavljeni izum ne izda patentne listine. Od tega trenutka dalje pa lahko uporablja izum samo nosilec patenta (titular) in pa tisti, katerim nosilec patenta dovoli uporabo s patentom zaščitenega izuma. Patentna glasila posameznih držav so vir podatkov za ustanove, ki se poklicno ukvarjajo z zbiranjem in urejanjem podatkov o prijavah izumov in podeljenih patentih. Te ustanove te podatke objavljajo v obliki različnih informacijskih sredstev, od katerih omenimo le: mikrofilmske kartice (angleško: mikrofishe), CD (ang: Compact Disk), ON-LINE prek podatkovnih omrežij na računalniških terminalih. Nekaj takih patentno informacijskih ustanov: DERWENT, INPADOC, US CLAIMS. Glede na dejstvo, da je namen razvoja nekega izdelka njegova poznejša prodaja na tržišču, je treba pred začetkom razvoja preveriti s pomočjo patentne informatike, če ni tak izdelek že opisan s patentno prijavo ali pa je za ta izdelek že podeljen patent in če je, v katerih državah je to. Ta postopek se imenuje patentna poizvedba in jo izvajajo za to usposobljeni strokovnjaki in ustanove. V kolikor se izkaže, da je novi izdelek sicer prijavljen ali pa je zanj že podeljen patent v državah, kjer tega izdelka ne bi nudili na tržišču, je lahko izdelan po opisu v taki patentni prijavi in ponujen na tržišču, kje r nima zaščite kot patent. Možno gaje tudi ponuditi na tržišču države, kjer je prijavljen kot izum (angleško: patent pending), vendar z rizikom, da bodo sledile sankcije, če bo podeljen patent za ta izdelek. Težko je predvideti, ali bo v neki državi za izum patent podeljen ali ne. Razvojno delo pri novem izdelku naj bi bila predvsem nadgradnja obstoječega stanja tehnike, ki ga pokaže patentna poizvedba. Tudi pri takem načinu razvojnega dela so potrebni ustrezni ukrepi, da ne pride do tega, da tak izdelek prijavi konkurenčna ustanova, preden bi ga prijavila ustanova, ki ga razvija, s čimer onemogoči plasma takega izdelka svoji konkurenci. Zato je potrebno razvijati izdelek v največji tajnosti in ga v primernem trenutku prijaviti patentnemu uradu vsaj v lastni državi. S tem je izdelek zapopaden s stanjem tehnike in ga ni več mogoče na ta način izriniti s tržišča. Šele po opravljeni prijavi je možno publicirati razvojni dosežek, ki je izum, v nepatentnem javnem glasilu (reviji, knjigi in podobno) ali prikazati (na razstavah), saj v nasprotnem primeru lahko pride do tega, da konkurenca prijavi ta izdelek kot izum in pridobi vse pravice, ki iz tega izhajajo. Zato izumitelji v svetu, čim je le možno, prijavijo svoj izum pri nacionalnem patentnem uradu, s čimer si zagotovijo prednostno pravico na vsem svetu. Primer: japonski izumitelji od sto na Japonskem prijavljenih izumov zahtevajo prednostno pravico v drugih deželah izven Japonske samo za dva ali tri izume in to samo v industrijsko najrazvitejših državah sveta. Prijave istega izuma v več državah tvorijo patentno družinotega izuma. Iz doslej navedenega sledi logičen sklep, da so najnovejši razvojni dosežki najprej objavljeni v patentnih informacijskih medijih. Šele po časovnem zamiku najmanj dveh let so ti dosežki objavljeni v revijah, po približno petih letih pa v knjigah. Navedena naj bosta samo dva primera, ki zgovorno ilustrirata pomembnost uporabe patentne informatike: - O prvih dosežkih pri razvoju kompaktnih diskov (ang. CD=Compact Disk) so se prvi izumi pojavljali v patentnih prijavah več kot pet let pred objavami v revijah in drugod. Tisti, ki so spremljali ustrezno patentno literaturo tega področja, so se lahko pravočasno pripravili na novost, ki se je najavljala. - V švicarski industriji ur niso dovolj budno spremljali patentnih informacij o novostih na področju urarstva, zaradi česar so postali Japonci vodilni proizvajalci digitalnih ur, v Švici pa so zamudili tehnologijo za kar deset let. Mnogo tovarn ur so zaprli in veliko delavcev je bilo ob zaposlitev. Patentne listine so torej na področju tehniških informacij: - najzgodnejšivir informacij, ker so hitro publicirane, do dve leti pred objavo v specializiranih revijah. - okrog 70% vseh tehniških informacij objavljajo samo patentni dokumenti - kvaliteten vir informacij. V njih je v zgoščeni in urejeni obliki podan rezultat ustvarjalnega dela - vir standariziranih informacij, ker so informacije v njih: ' kodirane, saj je pred vsakim bibliografskim podatkom patentne listine vpisana številčna standardna INID (ang: Inter- 51 Informacije MIDEM 23(1993)1, Ljubljana nationally agreed Numbers for the Identification of Data) koda * klasificirane. Večina pooblaščenih državnih patentnih ustanov uporablja MPK (Mednarodno Patentno Klasifikacijo) (ang: IPC=lnternational Patent Classification), s katero je kar se da natančno opredeljeno področje tehnike, na katero se nanaša izum. - centralizirane, ker jih publicira samo en urad v posamezni državi, pri nas Urad Republike Slovenije za varstvo industrijske lastnine. - nove, kar velja predvsem za patentne informacije, vsebovane v že podeljenih patentnih dokumentih. Reference: Bilten za industrijsko lastnino (BIL) Republike Slovenije MilošKOGOVŠEK, dipl. ing., patentni zastopnik NACIONALNI CENTER ZA MIKROSTRUKTURNO IN POVRŠINSKO ANALIZO Pomembnost mikrostrukturne karakterizacije izhaja iz spoznanja vede o materialih, da so lastnosti materialov odvisne od karakteristik mikro in celo nano področij ter od narave procesov v njih. Vzporedno z razvojem znanosti o materialih se kot njeno glavno orodje razvijajo metode mikrostrukturne karakterizacije. Te metode obsegajo kemično, strukturno in stereološko analizo. Skupno tem metodam je, da zahtevajo drago instrumentalno opremo. To so vseh vrst mikroskopi, optični in elektronski ter spektrometri. To področje se v svetu zelo hitro razvija. Najzmogljivejši instrumenti omogočajo vrhunska znanstvena odkritja, manj zmogljivi - standardni instrumenti ustrezno računalniško podprti so nepogrešljivo orodje na širokem področju aplikativnih raziskav, razvoja in kontrole. V Sloveniji obstajajo skupine, povečini v ustanovah, ki se ukvarjajo z mikrostrukturno analizo materialov v okviru lastnih raziskovalnih in razvojnih nalog ter delno izvajajo tudi usluge. Na področju materialov so to Laboratorij za mikrostrukturno analizo in Laboratorij za elektronsko mikroskopijo na Institutu Jožef Štefan ter Laboratorij za analizo površin in tankih plasti na Institutu za elektroniko in vakuumsko tehniko. Tu so elektronski mikroskopi in mikroanalizatorji za strukturno in kemično analizo materialov ter tunelski mikroskop in Augerjev spektrometer za analizo površin, če omenim samo največje instrumente. Oprema je bila ob nabavi vredna prek 3 milijone dolarjev. Pogon in vzdrževanje je drago in zahteva visoko usposobljene delavce. Večina instrumentov je starih, kot novejše velja omeniti analiznltrans-misijski mikroskop Jeol 2000fx in vrstični elektronski analizni mikroskop Jeol 840A ter tunelski mikroskop. Sodelavci v omenjenih laboratorijih so vključeni v prek štirideset raziskovalnih in razvojnih projektov na področju materialov, kemije, fizike in elektrotehnike ter v številne naloge iz industrije. Raziskovalci so specializirani za analizne metode, povezane z instrumenti. Za kvalitativno in kvantitativno kemično in stereološko analizo mlkrostrukturnih področij ali prostih delcev velikih nekaj mikronov in več, uporabljajo metode valovne In energijske disperzije rentgenskih žarkov na mikroanali- zatorju ali vrstičnem elektronskem mikroskopu. Za strukturno in kemično analizo podmikronskih področij ali delcev, kot so na primer medfazne površine, meje med zrni, kristalltl, azbestna vlakna in drugo, uporabljajo metode, vezane na transmisljski elektronski mikroskop. To so transmisijska elektronska mikroskopija, elektronska dlfrakcija in energijska disperzija rentgenskih žarkov. Za analizo površin in tankih plasti uporabljajo spektroskopijo Augerjevih elektronov in profilno analizo. Tunelski mikroskop odpira nove eksperimentalne možnosti raziskav atomske in elektronske strukture površin z atomsko ločljivostjo. Sodelavci se trudijo izvajati analize z obstoječo opremo na čim višjem strokovnem nivoju. V ta namen uvajajo razne računalniško podprte metode in razvijajo instrumentalne dodatke, ki izboljšujejo zmogljivosti Instrumentov. V nekaterih primerih omogoča vrhunske analitske rezultate široko razvejano sodelovanje s podobnimi institucijami v tujini. Pomembna dejavnost je tudi izobraževanje. V laboratorijih se pri vajah študentje seznanjajo s sodobnimi analitskimi metodami In instrumenti. Sodelavci predavajo na FNT in sodelujejo v seminarjih za strokovnjake iz industrije, kot na primer v seminarjih iz osnov vakuumske tehnike in iz metod karakterizacije keramičnih materialov. Del dejavnosti predstavlja tudi servisna dejavnost. Če pogledamo samo pretekli dve leti, ugotovimo veliko število občasnih ali stalnih uporabnikov uslug. Strokovnjaki, ki delajo zunaj omenjenih skupin In ki potrebujejo tovrstne usluge, se srečujejo s problemi, kot sta zasedenost ekipe z lastnimi nalogami in zato največkrat premajhna zainteresiranost za temeljito reševanje problema naročnika in visoka cena usluge, ki jo v glavnem določajo visoki stroški amortizacije in vzdrževanja. Analiza stanja nam je pokazala, da je dejavnost, ki jo predstavlja mikrostrukturna analiza materialov in 52 Informacije MIDEM 23(1993)1, Ljubljana površin, koristna dovolj širokemu področju raziskav in razvoja, da bi jo bilo smotrno v Sloveniji centralno organizirati in finančno podpreti zunaj raziskovalno-razvojnih projektov. S tem bi se dejavnost odprla tudi drugim področjem npr. analizam onesnaženosti okolja in drage instrumente bi nabavljali v skladu s potrebami širokega zaledja. Cena storitev bi postala dostopnejša za uporabnike. Pobudo Instituta J. Štefan in Instituta za elektroniko in vakuumsko tehniko za ustanovitev infrastrukturnega centra je Ministrstvo za znanost in tehnologijo sprejelo in sklenilo, da bo zagotovilo del sredstev za ustanovitev in delovanje Nacionalnega centra za mikrostrukturno in površinsko analizo. Upamo, da bo Center, financiran na nov način, lahko deloval že letos. dr. Marija Trontelj IJS Jamova 29, Ljubljana TESTNI CENTER - MIKROIKS Konec leta 1992 je podjetje MIKROIKS iz Ljubljane v industrijski coni v Stegnah postavilo in zagnalo neodvisni proizvodno-razvojni Testni center za testiranje mi-kroelektronskih vezij tako na silicijevih rezinah (Wafer probing) kot zaprta v različna ohišja (Final testing), z možnostjo inženirske analize in karakterizacije. Velikost Testnega centra je približno 100 m2, pri čemer odpade dobra polovica na takoimenovano belo sobo s kontrolirano čistočo, temperaturo in vlago in v kateri se nahaja vsa testna oprema. Klasa čistosti bele sobe je 100 000, kar pomeni, da se v kubičnem čevlju zraka nahaja največ 100 000 prašnih delcev velikosti > 0. 5 mikrona. Omenjena čistoča zagotavlja kvalitetno testiranje mikroelektronskih vezij na silicijevih rezinah, ko so le ta takorekoč "nezavarovana" in še niso vgrajena v raznorazna ohišja (značilne "gosenice"). Konstantna temperatura v območju 21 ± 2 stopinji C in vlaga v območju 35-45 % pa zagotavljata ustreznost in stabilnost vseh tehničnih parametrov testne opreme. Od druge polovice Testnega centra pa odpade približno zopet polovica na Laboratorij za izdelavo testnih kartic in ostalih testnih pripomočkov ter inženirske aktivnosti v podporo testiranju, ostalo pa na čisto garderobo in lastno strojnico za klimatizacijo bele sobe. OPREMELJENOST TESTNEGA CENTRA MIKROIKS - Univerzalni digitalni testni sistem SENTRY VII Univerzalni digitalni testni sistem SENTRY VII je vsekakor najpomembnejši del opreme Testnega centra MIKROIKS. Omogoča hitro in celovito testiranje kateregakoli digitalnega mikroelektronskega vezja ne glede na izdelavno tehnologijo in stopnjo integracije. Omejitev je le hitrost (do max. 20 MHz - MUX mode) in število testnih priključkov (max. 60). Testni sistem SENTRY VII je proizvod enega vodilnih svetovnih proizvajalcev testnih sistemov ameriške firme SENTRY/SCHLUMBERGER (prej FAIRCHILD) in je vsekakor sistem s največjim številom inštalacij v svetu. Konfiguracija testnega sistema SENTRYVII instaliranega v Testnem centru MIKROIKS je zelo bogata, saj poleg osnovnih tehničnih karakteristik in modulov, kot so : - FST 2 testni računalnik z diskom in tračno enoto - 2 HV (High voltage) testni glavi s po 60 priključki vsaka - 3 DPS (Device Power Supplies) napajalniki z možnostjo forsiranja napetosti do 40 V z resolucijo do 2 mV oziroma toka do 1 A in resolucijo do 100 nA - 6 RVS (Reference Voltage Supllies) napajalnikov z nastavitvijo do 30V in resolucijo do 2mV - 8 TG (Timing Generators) časovnih generatorjev od tega dva kot komparatorja - 1 PMU (Precision Measurement Unit) zelo natančna merilna enota za meritev napetosti do 40V z resolucijo do 2mV ali toka do 100 mA z resolucijo do 1 nA vsebuje še dodatne module in sicer: - 1 PPM (Pattern Processor Module) modul specialno namenjen za testiranje spominskih vezij - 1 SPM (Sequence Processor Module) modul, ki omogoča znatno večjo flexibilnost pri manipulaciji s preskuševalnimi vzorci, pomembno za zelo kompleksna vezja kot so mikroprocesorji in podobna vezja - 1 IEEE 488 paralelno vodilo, ki omogoča priklop raznoraznih dodatnih zunanjih modulov (dodatni for-sirniali merilni instrumenti), krmiljenih s strani testnega sistema in sinhroniziranih z ostalimi testnimi sekvencami. Obsežna sistemska programska oprema obsega poleg osnovnega operacijskega sistema in testnega jezika FACTOR, ki služi za pisanje aplikacijksih testnih programov, še vrsto podprogramov, ki omogočajo selekcijo, shranjevanje in razvrščanje posameznih testnih rezultatov, izpis le teh med samim testiranjem, analizo delovanja testiranega vezja s spreminjanjem posameznih parametrov vezja med samim delovanjem v določenem opazovanem območju (SHMOO PLOTS), statistično obdelavo večjega števila testnih rezultatov in diagramski 53 Informacije MIDEM 23(1993)1, Ljubljana prikaz le teh (histogrami, trend diagrami..), vse v smislu čim hitrejše in kvalitetnejše priprave testnih programov ter celovite inženirske karakterizacije testiranega vezja. - Avtomatski podajalnik rezin (Wafer Prober) ELECTROGLAS EG 1034X Avtomatski podajalnik rezin omogoča v principu dve stvari: ustrezno povezavo testnega sistema z mikroelek-tronskim vezjem na silicijevi rezini prek posebne testne kartice, katere konice nalegajo na kontaktne blazinice omenjenega vezja in jo je potrebno izdelati za vsako vezje posebej in zelo natančen ( v območju +- 5 mikrometra) ter hiter premik konic testne kartice z enega na drugo vezje ob zaključku vsake testne sekvence v skladu s testnim programom in pod kontrolo testnega sistema. Slaba vezja se takoj avtomatsko označijo s posebnim črnilom. Tako je moč v zelo kratkem času in popolnoma avtomatsko stestirati celotno rezino z običajno več sto mikroelektronskimi vezji. Avtomatski podajalnik rezin ameriške firme ELECTROGLAS, vodilnega svetovnega proizvajalca tovrstne opreme, EG 1034X, ki se nahaja v Testnem centru MIKROIKS pa vsebuje še dodatno opcijo, tako imenovano temperaturno mizico (HOT CHUCK), ki omogoča testiranje rezin tudi na poljubni povišani temperaturi z zelo natančno nastavitvijo temperature, vse do 150 stopinj C, kar je zelo uporabno orodje pri inženirski karak-terizaciji vezij, pri nekaterih vezij pa kar reden proizvodni postopek. - Avtomatska temperaturna podajalnika zaprtih vezij THERMONICS T-3005 in T6005. Drage testne naprave in obsežen inženirski angažman, ki je potreben v fazi razvoja in postavitve testnih procedur, kakor tudi v fazi proizvodnega testiranja, postavljata pred proizvajalce mikroelektronskih vezij in druge uporabnike imperativ maksimalne izkoriščenosti testnih naprav. Le to v znatni meri omogočajo avtomatski podajal-niki zaprtih vezij, ki poleg hitrega podajanja vezij testnemu sistemu, opravijo še ustrezno selekcijo teh vezij na različne kategorije v skladu s testnim programom, omogočajo pa tudi testiranje zaprtih vezij na povišani temperaturi vse do 125 stopinj C. V Testnem centru MIKROIKS se nahajata dva temperaturna podajalnika zaprtih vezij in sicer eden za ohišja manjših dimezij (širine do 300 milsov) in eden za večja ohišja (širine do 600 milsov). - Statični BURN-IN sistem MARIN CONTROL Kakovost in zanesljivost mikroelektronskih komponent postajata praktično najpomembnejša in ključna momenta pri uporabi le teh. Še posebno to velja za sisteme profesionalne elektronike in elektronskih naprav za uporabo v vojaške namene. Zato je potrebno že takoj na začetku izločiti mikroelektronska vezja, ki funkcionalno sicer ustrezajo zahtevam, vendar pa vsebujejo določene skrite defekte, ki bi šele v uporabi povzročili odpoved. S pomočjo takoimenovanih BURN-ln sistemov je moč z istočasno kombinacijo napajalnih napetosti in povišane temperature pospešeno simulirati realne pogoje in povzročiti predčasno odpoved "problematičnega" vezja. □ merilna in ostala oprema □ visokofrekvenčni osciloskop □ profesionalni digitalni voltmeter □ metalurški mikroskop □ zaslonski mikroskop □ večbarvni risalnik □ oprema za izdelavo testnih kartic PREGLED AKTIVNOSTI IN MOŽNIH USLUG TESTNEGA CENTRA MIKROIKS Poleg razvojnih in proizvodnih aktivnosti za lastne potrebe lahko firma MIKROIKS na osnovi lastnega znanja, večletnih izkušenj in povezav z domačimi in tujimi strokovnjaki s tega področja, ponudi domačim proizvajalcem elektronskih sistemov in podsklopov, razvojnim in raziskovalnim ter pedagoškim institucijam naslednje usluge Testnega centra MIKROIKS: □ Razvoj testnih programov in postavitev kompletnih testnih procedur tako za testiranje čistih digitalnih kot tudi (v omejenem smislu) digitalno-analognih mikroelektronskih vezij □ 100% testiranje rezin ali zaprtih vezij na sobni ali povišani temperaturi v smislu vhodne kontrole □ Inženirska karakterizacija in evaluacija mikroelektronskih komponent za določitev primernosti le teh za določene aplikacije □ Diagnostika in celovita analiza odpovedi posameznih komponent z ugotovitvijo mehanizma odpovedi in podprta z ustrezno dokumentacijo kot podlago za korektivne akcije ali morebitno reklamacijo dobavitelju komponent □ Dodatna testiranja v smislu življenskih testov in zagotavljanja višje kakovosti in zanesljivosti □ Posebne razvojno-raziskovalne aktivnosti za posamezne sistemske hiše, institute in fakultete □ Izdelava testnih kartic za testiranje rezin. Tu je vsekakor potrebno izpostaviti lastni laboratorij v okviru testnega centra za izdelavo testnih kartic z vso potrebno opremo in tehnološkim znanjem, tako za izdelavo kartic tipa BLADE kot tipa EPOXY. Oblika osnovne ploščice testne kartice je lahko ali pravokotna, ki je tudi primerna za ročne testne postaje, ali okrogla. Maksimalno število konic je pri karticah tipa BLADE 48, pri karticah tipa EPOXY pa 70. □ Izdelava testnih plošč za SENTRY VII in ostalih specialnih testnih pripomočkov po potrebi □ šolanje kadrov in druge pedagoške aktivnosti Zlatko Bele, dipl. ing. MIKROIKS d. o. o. Dunajska 5 61000 Ljubljana 54 Informacije MIDEM 23(1993)1, Ljubljana KoREMA - Hrvatsko društvo za komunikacije, računarstvo, elektroniku, mjerenja i automatiku KoREMA, novo medustrukovno društvo, nastalo je sje-dinjenjem JUREMA-RH i ETAN-RH kao odgovor društvene, političke i gospodarske promjene na prosto-rima bivše Jugosalvije, a sukladno demokratskim stremljenjima i preobrazbama u Republici Hrvatskoj. Na osnivačkoj Skupštini održanoj 24. siječnja 1992. godine na Elektrotehničkom fakultetu u Zagrebu usvojen je Statut KoREMA te izabrani članovi organa KoREMA: Predsjedništva, Savjeta i Nadzornog odbora. Na ¡stoj su Skupštini izabrani članovi Savjeta znastveno-stručnog časopisa "Automatika", koja če u budučem radu biti prisno spregnuta s radom KoREMA. Zadaci KoREMA prema Statutu jesu: — unapredenje znanosti i struke te poticanje stvara-laštva u područjima komunikacija, računarstva, elektronike, mjerenja i automatike te drugim relevantnim područjima; — sudjelovanje u stvaranju i provedbi politike razvoja iz svojega djelokruga u Republici Hrvatskoj; — pračenje razvoja suvremenih tehnologija i poticanje njihove primjene; — potpomaganje obrazovne i gospodarske suradnje; — poticanje i suradnja u tehničkoj provedbi; — organiziranje savjetovanje, konferencija, simpozija, seminara, izložaba, rasprava i drugih znanstveno-stručnih skupova o suvremenim problemima struke; — izdavanje i pomoč pri izdavanju zbornika, stručnih radova, časopisa, knjiga i drugih tiskovina iz područja svoje djelatnosti; — suradnja sa srodnim društvima i organizacijama u Republici Hrvatskoj te s nacionalnim i medunarod-nim društvima, udruženjima i tjelima od interesa KoREMA; — druge aktivnosti koje spadaju u područje interesa KoREMA. Da bi ostvarila prethodno navedene zadatke KoREMA se pobliže bavi: — telekomunikacijama i radiokomunikacijama; — računarstvom i informatikom; — informacijskom i energetskom elektronikom te elek-troničkim tehnologijama: — industrijskim i drugim mjerenjima te obradom signala; — automatskim upravljanjem i kibernetikom, što uključuje i: — pogonske sustave i pretvotrbu energije; — robotiku i fleksibilne proizvodne sustave; — racionalno korištenje energije i zaštitu okoliša; — prometne sustave; — biomedicinsku tehniku. Navedene zadatke u dvogodišnjem mandatu provodit če Predsjedništvo KoREMA u slijedečem sastavu: prof. dr. Petar Crnošija, ETF Zagreb, prof. dr. Krešimir Cosič, Glavni stožer Hrvatske vojske Zagreb, prof. dr. Franjo Jovič, ETF Osijek, prof. dr. Vojislav Kecman, FSB Zagreb, dipl.ing., Marin Klarič, Pliva, Zagreb prof. dr. Mladen Kos, ETF Zagreb, prof. dr. Ivica Mandič, FESB Split, prof. dr. Neven Mijat, ETF Zagreb, prof. dr. Borivoj Modic, ETF Zagreb, prof. dr. Branko Novakovič, FSB Zagreb, prof. dr. Nedjeljko Peric, Končar - Inštitut za elektrotehniku Zagreb, Slobodan Prpič, dipl. ing., Termoelektrana "Rijeka", Ri-jeka, prof. dr. Slobodan Ribarič, ETF Zagreb, mr. Aleksandar Szabo, ETF Zagreb, dr. Dinko Sinčič, Chromos Zagreb, doc. dr. Mate Smajo, FESB Split, doc. dr. Zoran Vukič, ETF Zagreb, Mirko Vukovič, dipl. ing., ATM Zagreb, prof. dr. Sejid Tešnjak, ETF Zagreb. Na prvoj sjednici Predsednjištva KoREMA, održanoj 7. veljače 1992. g. u Zagrebu, za predsjednika Predsed-ništva izabran je prof. dr. Nedjeljko Perič, a za dopreds-jednika prof. dr. Neven Mijat. Članstvo u KoREMA Prema Statutu (čl. 6-9.) članovi KoREMA mogu biti punoljetni gradani odgovarajučih stručnih sprema koji se zanimaju stručnom djelatnošču iz područja KoREMA i koji prihvačaju odredbe Statuta te pravne osobe zainteresirane za djelatnost KoREMA. Članom KoREMA se postaje potpisivanjem pristupnice s izjavom da se prih- 55 Informacije MIDEM 23(1993)1, Ljubljana vačaju odredbe Statuta KoREMA koju nakon prihvača- nja Predsjedništva potpisuje predsjednik Predsjedništva te uplatom članarine. Člasnovi KoREMA imaju pravo: - sudjelovati u svim djelatnostima KoREMA; — birati i biti izabrani u sve organe KoREMA; — upravljati poslovima i ostvarivati clljeve KoREMA na Skupštini i drugim oblicima izjašnjevanja i u izabra-nim tijelima; - na popust na kotizacije i publikacije KoREMA. Dužnosti članova KoREMA su: - da svojim radom pridonose ostvarivanju ciljeva i zadataka KoREMA; — da plačaju članarinu. Študenti i umirovljenici plačaju povlaštenu članarinu. Povlaštenje članovima KoREMA Članovima KoREMA imaju slijedeča povlaštenja: - popust na kotizacije na svim skupovima koje organizira KoREMA u iznosu 20% nominalne kotizacije; - popust na sve publiakcije koje izdaje KoREMA u iznosu 20% nominalne cijene; - popust na pretplatu za znastveno-stručni časopis "Automatika" u iznosu 40% nominalne cijene; - pravne osobe imaju popust na sve oblike prezenti-ranja (oglasi, reklame, izložbe) u iznosu 20%. Članarina za 1992. godinu iznosi: DEM 15. -.......za pojedinca, DEM 300. -.......za pravnu osobu u dinarskoj protivrijednosti po srednjem tečaju Narodne banke Hrvatske na dan uplate. Študenti imaju popust na članarinu u iznosu 70% nomi-naolne članarine. Umirovljenici imaju popust na članarinu u iznosu 50% nominalne članarine. Članovi KoREMA dobivaju člansku izkaznicu. KONFERENCE, POSVETOVANJA, SEMINARJI, POROČILA Mednarodna koferenca o elektronskih komponentah in materialih - ICECM'92 Od 11. do 14. 11. 1992 sem se udeležila Mednarodne konference o elektronskih komporentah in materialih -ICECM '92, ki je bila v Hangzhou na Kitajskem. Tja so me povabili kot člana programskega odbora in predsednika sekcije "Karakterizacija kompozitov za elektronske komponente". V sekciji "Anorganski materiali za elektroniko" pa sem imela vabljeno predavanje "Alkoxy - Derived Ferroelectric Ceramlc Povvders and Thin Films". Konferenca postaja tradicionalna. Pred tremi leti je bilo prvo tovrstno srečanje v Pekingu, naslednje bo čez tri leta v Xi'anu. Konferenca je bila zelo obsežna, tako po številu udeležencev kot po vsebini. Med udeleženci (čez petsto) so bili seveda daleč spredaj po številu domačini, nemalo pa je bilo tudi Japoncev, nekaj Američanov in Evropejcev. Kot sem omenila je bila konferenca vsebinsko zelo bogata. Obsegala je prispevke s področja raznovrstnih materialov ter elektronskih in optoelektron-skih komponent in tehnologij. Na njej je človek dobil zelo dober pregled, kaj se vse na posameznih prej omenjenih področjih novega dogaja, kaj so "vroče" teme, ker so se organizatorji potrudili in za plenarna predavanja dobili raziskovalce iz vodilnih, pretežno japonskih in ameriških firm in univerz. Večina plenarnih predavanj se je nanašala na raziskave materialov npr. "pametni" materiali, "inteligentni" materiali, SrTi03 kot materiali za kondenzatorje z zapornimi plastmi, materiali na bazi silikonov za zaščito komponent, materiali za keramične nosilce, keramični polprevodniki za termoelektrično pretvarjanje energije, nano kompoziti, elektroaktivni kompoziti keramika - polimeri. Nadalje smo v plenarnih predavanjih slišali še o plinskih senzorjih za zaščito okolja, napredek in pogled v bodoče na področju optičnih komunikacij ter mnenje o trendih miniaturizacije v elektroniki. Sicer pa so bili prispevki razvrščeni v več sekcij. Za občutek o težiščih konference je poleg naslova sekcije spodaj navedeno še njihovo število in število prispevkov. 56 Informacije MIDEM 23(1993)1, Ljubljana Pregled prispevkov konference po sekcijah Nove sekcije Število Število prispevkov Elektronski materiali 5 42 Piezoelektrični materiali in 2 15 komponente Feroelektrični materiali in 2 14 komponente Senzorji 5 35 Diskretne komponente in 2 18 zanesljivost Hibridna mikroelektronika 2 16 Optoelektronika 2 14 Novosti na področju elektron- 2 9 skih komponent in materialov Udeleževala sem se predavanj v sekciji za elektronske materiale in sekcije za piezoelektrične materiale in komponente. V prvi sekciji "Elektronski materiali" je bilo precejšen del referatov posvečenih keramiki, med temi predvsem ne-konvencionalnim metodam sinteze in pripravi ter lastnostim kompozitov keramika - polimeri. Sekcija o piezoelektrični keramiki je seveda pokrivala dosti ožje področje. Raziskovalna sfera se zanima pretežno za materiale, za pripravo elementov pri eks-tremnih pogojih delovanja (visoka temperatura, pritisk, frekvenca, deformacija). Med materiali, ki so zmožni velikih deformacij so se prebili tisti na osnovi sistema xPb(Mgi/3Nb2/3)03, +yPb(Nii/3Nb2/3)03 + z Pb(Zr, Ti)03 ali kratko PMN - PNN - PZT. Primerni naj bi bili npr. za pripravo piezokeramičnih motorjev. Že dolgo pa še vedno zelo aktualen problem je znižanje temperature sin-tranja PZT in po sestavi njej podobne keramike. Namen je zmanjšati probleme zaradi odparevanja PbO. Eden od načinov je sinteza aktivnih prahov, drugi pa modifikacija sestave z dodatki, ki tvorijo prehodno tekočo fazo, ki omogoča zgoščevanje pri nižji temperaturi. Taki dodatki so npr. CdO, LiC03, Bi203. Ob koncu je vredno omeniti še nekaj manj strokovnih plati konference. Prvič izredno zanimanje kitajskih udeležencev za stroko, kar se manifestira v stalnem "obleganju" predavateljev, zelo visok nivo referatov nekaterih kitajskih skupin in pa izredno gostoljubnost organizatorjev. Udeležbo na konferenci je finančno podprlo Ministrstvo za znanost in tehnologijo (plačalo je potne stroške), za kar se mu lepo zahvaljujemo. dr. Marija Kosec IJS Jamova 39, Ljubljana KONFERENCA MICROTECH-93, LONDON, 9.-10. FEBRUAR 1993 Konferenco o hibridni mikroelektroniki MicroTech prireja v Angliji vsako leto konec januarja ali začetek februarja angleška sekcija ISHM (International Society for Hybrid Microelectronics). Letošnja konferenca MicroTech-93 je bila 9. in 10. februarja 1993 v Londonu v hotelu Penta pri letališču Heathrow. Hkrati s konferenco je bila tudi razstava opreme, materialov In komponent za hibridno mikroelek-troniko. Vodilna tema oziroma moto konference 9. februarja je bilo "Manjše, lažje, hitreje", naslov tehnološkega seminarja 10. februarja pa "Ali ima debeloplastna tehnologija prihodnjost ?". V poročilu bom na kratko opisal vsebino nekaterih zanimivejših predavanj, na razpolago pa je zbornik razširjenih povzetkov in nekaterih referatov. Precej predavateljev je govorilo o zahtevah, ki jih vedno bolj kompleksna integrirana vezje predstavljajo za tehnologije izdelave povezav. Takt ure bo do leta 1995 presegel 100 MHZ, število l/O izvodov pa doseglo oziroma preseglo 1000 za nekatera logična vezja. Kratek pregled "zgodovine" teh trendov je predstavljen v tabeli I. Obdobje 1975-1980 Tabela I 1980-1985 1985-1990 1990-1995 Integracija MSI LSI VLSI ULSI Minimalne 4 |am 2 jim 1,25 jim 0,5 |im dimenzije Število vrat 10-100 1k-4k 10k-50k 100k-200k Takt ure 1 MHz 10 MHz 25 MHz 100 MHz Vhod/izhod 14-40 48-120 84-200 148-200 Moč 0,2-0,4 W 0,5-1 W 1-2 W 2-4 W G. Jackson (Multitone) je v referatu z naslovom Interco nection Compression govoril o zmanjševanju dimenzij povezav. V drugi polovici 80-tih let so bile standardne dimenzije linij na tiskanih vezjih 125 |jm, sedaj so 100 |im, proizvajalci pa za 50% višjo ceno ponujajo tudi 50 um linije. Za spajkanje komponent na substrat je za pastozne spajke meja gostote priključkov okrog 0,4 mm, kar je verjetno meja za idustrijsko proizvodnjo. Pri gos- 57 Informacije MIDEM 23(1993)1, Ljubljana tejših priključkih se lahko uporablja lasersko spajkanje, ki pa je drago (en spajkalni spoj za drugim) in zaenkrat neprimerno za množično proizvodnjo. Vedno več se bodo uporabljali MCM (M u It i Chip Modules), v katerih so povezane gole silicijeve tablete. Multichip moduli imajo precej prednosti pred konvencionalno inkapsuliranimi silicijevimi tabletkami z velikim številom vhodov/izhodov (single chip modules), predvsem v primerih, ko primanjkuje prostora v vezju in, če je takt ure "hiter". Prednost MCM pred ASIC (Application Specific Integrated Cir-cuits) je v hitrejšem designu MCM. Pri ASIC traja načrtovanje tudi do dve leti, v MCM pa se povežejo obstoječe tabletke. Kot je bilo povedano še v več referatih, je ASIC v velikih količinah lahko precej cenejši in bolj funkcionalen, vendar je za izdelke pomembnejši kratek čas razvoja (Time to Market). Ker bodo MCM, izdelani v različnih tehnologijah, še večkrat omenjeni, bomo na kratko definirali "osnovne tipe": □ MCM-L so zahtevna večplastna tiskana vezja z linijami minimalne širine, to je do 25 |jm. □ MCM-Cso"keramični"hibridivisokegostote, navadno večplastni keramični substrati, v kateri je tudi prek 50 nivojev prevodnika, ali pa kompleksna debelo-plastna večplastna vezja □ MCM-D so moduli, ki imajo nanešene tankoplastne večplastne kovinske povezave (aluminij ali baker), ločene s polimernim ali tankoplastnim (SiCte) dielek-trikom. Kot substrat se največ uporablja AI2O3 ali silicij. Silicij dobro prevaja toploto, njegov temperaturni razteznostni koeficient pa je seveda isti kot razteznostni koeficient silicijevih tabletk. V tem primeru se del elektronike izdela lahko že na substratu. Približne cene za multi chip module iste velikosti, izdelane v različnih tenologijah, so od 8 do 35 GBP za MCM-C, 2-5 GBP za MCM-L in 20-35 GBP za MCM-D. Te številke so seveda zelo okvirne. Leta 1992 so na svetu izdelali okrog 46*109 tablet, leta 2000 pa naj bi jih 100*109. Od tega je bilo 1992 v Multi Chip Module vgrajeno 0,6%, leta 2000 pa predvidevajo 5,5%. Porazdelitev po posameznih "tipih" MCM je prikazana v tabeli II. Tabela II Število posameznih tipov MCM MCM 1992 2000 MCM-L 27*10® 390*106 MCM-C 1,2*10® 90*10® MCM-D 0,3*10® 195*10® E. Schmidt (BMW) - Technology trends of automotive electronic systems - je govoril o elektroniki v avtomobilih. Elektronika mora biti predvsem robustna in poceni. V nekaterih današnjih avtomobilih je že prek 40 mikro procesorskih sistemov. Zahteve so po eni strani kratek čas razvoja, ker se sistemi spreminjajo oziroma izboljšujejo na 3 do 4 leta, po drugi pa mora elektronika v avtomobilu zdržati do 17 let. Pri firmi BMW so vezja, zaradi cene, na tiskanih vezjih in zaščitena s plastično Inkapsulacijo, ker je hermetična predraga. Uporabljajo debeloplastne ali polyimidne MCM, ker so tankoplastni predragi. Zanje kot proizvajalca avtomobilov je zelo pomembno, da so vse komponente v standardnih ohišjih. Pri izboljšavah oz. inovacijeh morajo paziti, da uporabljajo samo tisto, kar je kupec pripravljen plačati. R. Jones (Sony Manufactoring Comp.) - Technology and infrastructure in the next decade - je povedal, da v Angliji izdelajo okrog 6500 televizorjev na dan. Proizvajajo več kot 150 modelov, za kar rabijo 60000 različnih komponent oziroma sklopov. Sony meni, da bo "klasičen" televizor s katodno cevjo ostal še nekaj deset let v prihodnje stoletje, medtem ko bodo ceneni del tržišča počasi zasedli zasloni s tekočimi kristali. Projekcijski TV seti, ki sliko projecirajo na steno ali platno, so zaenkrat še predragi in preveč nezanesljivi za splošno uporabo 90% komponent nabavljajo v Evropi, ker tiste z daljnega vzhoda zanje niso dovolj kvalitetne. Imajo okrog 120 dobaviteljev, ki morajo sami skrbeti za kvaliteto komponent, ker jih pri Sonyju ne kontrolirajo. Dobavitelj, ki pošlje komponente z napako, pač odpade. S. Williams (Digital) je v uvodu referata z naslovom Simple chip packages resolve a komplex dilemma povedal, da Multi Chip Moduli (MCM) izpolnjujejo skoraj vse zahteve moderne mikroelektronike, na primervelika gostota funkcij in povezav, modularnost, majhna teža (povečana "portability"), razmeroma majhna poraba energije in zanesljivost. Vendar je cena MCM razmeroma zelo visoka, kar je razlog, zakaj se ne uveljavljajo tako hitro, kot so pred leti predvidevali. Visoka cena MCM je posledica predvsem visoke cene testiranih golih silicijevih tabletk, ki so običajno dražje kot inkapsulirane. Cena opreme za testiranje posameznih tabletk je zelo visoka. Po drugi strani, če se uporablja netestirane tablete, pa je zelo slab izkoristek izdelanih MCM. Kot primer je navedel, da je (kumulativni) izkoristek MCM z desetimi tabletkami, od katerih je lahko 10% slabih, pod 40%. Njihova predlagana rešitev problema testiranja posameznih tabletk je, da se tabletko pritrdi na sredino z laserjem zarezane AI2O3 keramike. Od sredine proti robu keramike so natiskane zlate debeloplastne prevodne linije, na katere se pribondira tabletko. Tabletko se nato testira tako, da so kontaktirane blazinice na robu keramike. Po testiranju se "odvečni" del substrata odlomi, tako da ostane samo srednju del, na katerem je tabletka. Tabletka na tem substratu se nato naprej vgrajuje enako kot gola tabletka. J. Berry (Toshiba) je v referatu Addresing user needs through semiconductor alliances poročal o prednostih, če več velikih firm, drugače konkurentov, skupaj razvijajo novo tehnologijo. O tem je govoril na primeru razvoja 256 M DRAM, ki so ga razvijali skupaj Toshiba, Siemens in IBM. Za ilustracijo je povedal, da se na 256 M DRAM lahko "spravi" celotna Shakespeareova dela. Razvoj komponente je zahteval tudi razvoj linij s širino 58 Informacije MIDEM 23(1993)1, Ljubljana 0,25 jam. Dogovor je bil, da je tehnologija skupna, izdelki pa so seveda stvar vsake posamezne firme. Prednosti skupnega razvoja so zmanjšano tveganje, visoki stroški (razvoj 256 M DRAM je ocenjen na več kot miljardo dolarjev) se delijo, na razvoju je lahko hkrati delalo več kot 200 raziskovalcev. V prihodnjosti bo podobnih sode-lav vedno več zaradi visoke cene novih tehnologij. Na vprašanje, kdo bo "posedoval" 0,25 jam proces, je odgovoril, da verjetno samo največje firme, ker je ta tehnologija zelo draga. Računajo, da bo gostota vrat v integriranih vezjih do leta 2000 narasla do 8000/mm2. M. Terasawa (Kyocera) - Small, thin, short - no problem! - je govoril o trendih pri razvoju večplastnih tehnologij, ki so potrebne za vezja z veliko gostoto funkcij in povezav. Večplastna keramika je zelo zanesljiva in cenovno sprejemljiva. Ocenil je, da postane cenejša od večplastnih tiskanih vezij nekje med 6 in 8 nivoji. Pri tiskanih vezjih se cena približno podvoji za vsak naslednji nivo, pri večplastni keramiki pa naraste samo za okrog 10%. V večplastnih substratih so lahko "vgrajeni" kondenzatorji, upori in induktivnosti. Širina linij, izdelanih z debeloplastno tehnologijo na zelenih AI2O3 ali AIN folijah (Kyocera zaenkrat ne uporablja nizkotempera-turne keramike na osnovi kristalizirajočih stekel), je v desetih letih padla od 400 um na manj kot 100 jim. Večplastne AI2O3 substrate s prevodniki na osnovi molibdena ali volframa izdelujejo do 60 nivojev, lahko pa gredo prek 100. J. Cicogniani (Du Pont) je v referatu z naslovom Ceramic solution for interconnect requirement predstavil možnosti, ki jih ponuja "izboljšana" debeloplastna tehnologija za izdelavo gostih povezav. Trendi, ki zahtevajo vedno bolj gosta vezja, je ilustriral s prihodnjo generacijo elektronike za avtomobile, kjer so zahteve do leta 1995 tri krat večja funkcionalnost in 30% redukcija v dimenzijah, pri čemer naj bi cena narasla samo za 40%. Debeloplastna tehnologija omogoča v večplastnih vezjih tiskanje linij do 125 jjm. Luknje (vias), ki se jih lahko še razmeroma "udobno" Izdela s sitotiskom, so okrog 300 ¡am. Eden razlogov za to je vakuum, ki pri odmiku sita od substrata potegne pasto v luknjo. Pri Du Pont-u so razvili način izdelave manjših lukenj z metodo "difuzijs-kega oblikovanja" (diffusion patterning). Na tiskano in sušeno dielektrično plast se natiska na zaželjenih mestih posebna pasta, ki vsebuje topilo, ki difundirá v die-lektrik in ga raztaplja. Na tem mestu se plast dielektrika lahko izpere. Na ta način se lahko tudi v pogojih proizvodnje večplastnih hibridnih vezij dela povezave z dimenzijami do 150 jam. Proizvajalec avtomobilov, ki uporablja to tehnologijo, je zmanjšal dimenzije vezja za faktor 2,5. Drug način so prevodne in dielektrične paste na osnovi foto občutljivega polimera. S foto postopkom se lahko izdelajo debeloplastne prevodne linije širine pod 50 um (v laboratoriju 25 (am) in luknje s premerom 50 do 75 pm. Druga možnost za izdelavo večplastnih vezij visoke gostote je keramika s sestavo, podobno dielektrikom za večplastna vezja (kristalizirajoča stekla), ki se žge pri nizkih temperaturah 850°C do 950°C. Na zeleno folijo se natiskajo navadni debeloplastni prevodniki, folije se nato zložijo in razrežejo v "pakete", ki se jih žge. Razvijajo tudi materiale, s katerimi se bodo lahko izdelali upori in kondenzatorji v tej večplastni strukturi. R. Kirkpatrick (DEK Printing Machines) je v referatu Co-fired ceramic; printing and handling for volume production predstavil polavtomatsko in avtomatsko opremo za izdelavo večplastnih vezij na osnovi nizko temperaturne keramike. Ker so zelene keramične folije tanke in mehansko neodporne, so predvsem načini rokovanja različni od tistih pri izdelavi debeloplastnih hibridnih vezij. Povedal je, da se ta tehnologija v Evropi zaenkrat ni "prijela", razen na laboratorijskem nivoju. V ZDA jo na industrijskem nivoju uporabljata dve večji firmi (predavatelj ni povedal, kateri, vendar je bilo v diskusiji nakazano, da je ena od njih verjetno IBM). Tudi na Japonskem se ta tehnologija zaenkrat uporablja le za manjše serije. Razlogi za počasen razvoj tehnologije so predvsem neustreznot obstoječih naprav pri firmah, ki imajo debeloplastni tehnologijo in razmeroma visoki stroški za prehod na nizko temperaturno keramiko, prav tako pa tudi "prevlada" večplastnih tiskanih vezij, izdelanih s površinsko montažo. Predstavniki proizvajalcev debeloplastnih past so predstavili nove materiale. B. Sjoling (Ferro EMD, prej Thick Film Systems) je predstavil prevodnike na osnovi Ag in Al za metalizacijo sončnih celic in debeloplastne paste, tako visoko temperaturne kot na osnovi polimerov za izdelavo tekoče kristalnih, LED, elektro luminiscent-nih, plazma in vakuumskih zaslonov. To so predvsem stekla za hermetično zapiranje, dielektriki z visoko die-lektričnostjo in prevodniki na osnovi Ni, ki se lahko žge na zraku ali srebra. S. Muckett (Electro Science Labs.) je govoril predvsem o novih dielektričnih materialih z visoko dielektričnostjo, od 2000 do 12000. Starejše "kondenzatorske" paste so bile na osnovi zmesi stekla in BaTiC>3. Plasti so bile po žganju pri temperaturah, ki se uporabljajo pri debeloplastni tehnologiji, to je pod 1000°C, porozne. Novi materiali so po žganju gosti z malo porami, ki med sabo niso povezane. Zato so karakterististike debeloplastnih kondenzatorjev, izdelanih s temi pastami, zelo stabilne, čeprav so zaščiteni samo s steklom z nizko temperaturo žganja. Neka (neimenovana) firma bo začela proizvajati diskretne RC člene v "chip" izvedbi, kjer sta na majhnem keramičnem substratu, primernem za površinsko montažo, debeloplasten upor in kondenzator, izdelana s to novo pasto. Q. Reynolds (Heraeus Silica and Metals) je predstavil debeloplastne paste za izdelavo vezij na jeklenih substratih. Osnova tega sistema materialov je dielektrik, ki po žganju tvori gosto in neporozno plast na jeklu. Zaradi goste plasti ne pride med žganjem do baterijskega efekta (nabrekanje in luščenje zgornjih plasti). Na tej osnovi se potem "zida" vezje s kompatibilnimi prevodniki in upori. Izolacijska upornost dielektrika je pri povišani temperaturi za tri razrede višja kot pri "starih" dielektri-kih. Predstavil je nekaj aplikacij tega sistema, predvsem tam, kjer se zahtevajo robustna vezja ali substrati kom- 59 Informacije MIDEM 23(1993)1, Ljubljana zorjev za različne meritve v osebnih avtomobilih je prikazana v tabeli III. Tabela III Vrednost senzorjev v osebnih avtomobilih (v 106 GBP) 1991 1996 Letna rast (%) Pospešek 183 503 22,4 % Pretok zraka 196 312 9,7% Koncentracija kisika 102 153 8,4 % Položaj 317 539 11,2% Hitrost 355 699 14,5% Temperatura 278 412 8,2 % Ostalo 158 151 -1,0% Skupaj 1754 3131 Pri izdelavi velikih serij senzorjev je cena debeloplastnih senzorjev višja kot senzorjev na siliciju, pri srednjih ali manjših količinah pa so debeloplastni senzorji cenejši. Trenutno se debeloplastni senzorji, ali kot "klasična" debeloplastna vezja ali pa izdelani na kovinskih sustratih ali kot večplastni substrati, uporabljajo ali testirajo za uporabo pri meritvah pritiska, koncentracije kisika v izpušnih plinih, pospeška, pretoka zraka in obremenitve, torej praktično vseh parametrov, navedenih v tabeli lil. Primerjava različnih tehnologij za izdelavo senzorjev je podana v tabeli IV, primerjava senzorja tlaka, izdelanega v debeloplastni tehnologiji ali na siliciju, pa v tabeli V. Tabela IV Primerjava karakteristik senzorskih tehnologij Silicij Tanko Debelo Integrira- Optična plastna plastna optika na optika vlakna Proizvodna linija (106 GBP) 1-2 0,5 0,2 0,5-1 0,2 Proizvodnja senzorjev na leto 100 k 20 k 5 k 20 k 0,5 k Cena senzorja (GBP) 0,1-1 0,3-3 1-10 5-50 5-500 Miniaturizacija Visoka Srednja Nizka Nizka Zelo nizka Integracija Monolitno Hibrid Hibrid Hibrid Diskretne komponente Fleksibilnost Nizka Srednja Visoka Nizka Visoka Tabela V Primerjava parametrov senzorjev tlaka izdelanih v debeloplastni tehnologiji in na siliciju. Podatki so normalizirani za debeloplastni senzor = 1. Parameter Debeloplastni Silicij Dimenzije 1 0,02 Časrazvoja - senzorski element 1 3 - naprava 1 10 Investicija za proizvodnjo, 100 k senzorjev/leto 1 5 1000 k senzorjev/leto 1 1 5000 k senzorjev/leto 1 0,7 Avtor ocenjuje, da bodo na področju meritev koncentracije kisika v izpušnih plinih in temperature prevladovali debeloplastni senzorji, pri meritvah ostalih parametrov pa bodo morali tekmovati z drugimi tehnologijami. Njihove prednosti so velika fleksibilnost, kratki razvojni časi in možnost funkcionalnega doravnavanja spremnih vezij. J. Atkinson (University of Southampton) je v referatu Thick film technology for sensors poročal o debeloplastnih senzorjih za meritve koncentracij plinov in kemične senzorje za tekočine, ki jih razvija s sodelavci. Na AI2O3 substratu so natiskane zlate elektrode, pretiskane z različnimi organo-metalnimi spojinami. Upornost teh plasti je odvisna od vrste plina (na primer različni alkoholi, ogljikov monoksid, S02, benzen itd.), od koncentracije plina in od temperature. Na vsakem substrati je več senzorjev, ki jih grejejo platinski grelci, natiskani na hrbtni strani, tako da se lahko vzdolž substrata vzpostavi temperaturni gradient tudi do 100°C. Substrati so zaradi nižje toplotne prevodnosti v vzdolžni smeri zarezani z laserjem. Ker spremembe upornosti senzorskih plasti v odvisnosti od sprememb ali kombinacij parametrov niso linearne, se senzorje "trenira". Računalnik si zapomni odzive pri na primer različnih zmeseh plinov pri različnih temperaturah, ki jih potem prepozna - avtorje to imenoval "baza podatkov prstnih odtisov plinskih zmesi". Za detekcijo snovi, raztopljenih v vodi, so razvili senzor, pri katerem je ena elektroda več vzporednih tankih zlatih linij, pravokotno pretiskanih s pasovi dielektrika, tako da simulirajo točkaste elektrode. Druga, referenčna elektroda je na osnovi srebra, na katero elektro kemijsko nanesejo AgCI. Na osnovi takega senzorja želijo izdelati prenosno napravo, ki bo v vodi merila kislost oziroma pH, koncentracijo raztopljenega kisika, specifično upornost in temperaturo. Marko Hrovat IJS, Jamova 39, Ljubljana 60 Informacije MIDEM 23(1993)1, Ljubljana PREDSTAVLJAMO PODJETJE Z NASLOVNICE SIQ - SLOVENSKI INSTITUT ZA KAKOVOST IN MEROSLOVJE SIQ - SLOVENIAN INSTITUTE OF QUALITY AND METROLOGY Abstract: The recent foundation of the Slovenian Institute of Quality and Metrology (SIQ) is the embodiment of the idea of a national institution for quality testing and certification as conceived by the Institute of Quality Testing and Metrology (IKM) in the late Eighties to provide for harmonization and compatibility with similar institutions in Europe. Thereby, new prospects for our participation in relevant existing and developing international associations have been created. The founders of the SIQ are: IKM, as the predecessor of the SIQ, the Republic of Slovenia, the Slovenian Chamber of Commerce, Triglav Insurance Company, and two greatest Slovenian companies In the domain of electronics, Iskra and Gorenje. In future we will try to encourage more representatives of industry and large national economic systems, as well as Institutions like the Slovenian Consumers' Association to join us. In this way the fulfilment of three basic attributes of a testing and certification Institution organised In accordance with the criteria of European standards EN 45000 - impartiality, independence and non-profitability - will be assured. However, In the decision to found the SIQ, the possibility of taking over a wider role was essential: not only product testing, and not just In the field of electrotechnics, which has been the Institute's domain up till now. The following slogan best describes the development vision of the SIQ: "Let the majority of questions in the quality domain in Slovenia find their answers within the SIQ." The article gives an overview of existing and future fields of activity of SIQ, with special emphasis on the presentation of its technical competence and achievements in International co-operation. SIQ - nacionalna institucija za področje preskušanja in certificiranja Z ustanovitvijo zavoda "Slovenski institut za kakovost in meroslovje" (SIQ) - pogodba o ustanovitvi je bila podpisana v decembru 1992 - se uresničuje zamisel o nacionalni instituciji za področje preskušanja in certificiranja, ki smo jo v Institutu za kakovost in metrologijo (IKM) oblikovali po vzoru sorodnih institucij v evropskem prostoru. S tem se odpirajo nove možnosti za vključevanje Slovenije v ustrezne obstoječe in nastajajoče mednarodne asociacije, katerih poglavitni namen je olajšati in vzpodbujati mednarodno trgovanje. Formalni pogoj neodvisnosti, ki se zahteva za tako mednarodno sodelovanje, je bil izpolnjen z izločitvijo IKM iz Iskre leta 1987. Pomen institucije, v kakršno se je tedaj razvijal IKM, za Slovenijo in možne ureditve je obdelala in prikazala ekspertiza, ki je bila pod vodstvom pokojnega prof. Franca Mlakarja, dolgoletnega direktorja IKM, izdelana za Ministrstvo za industrijo in gradbeništvo v maju 1990. Ekspertiza je definirala obrise bodoče institucije izhajajoč iz treh osnovnih atributov pres-kuševališča in certifikacijskega organa, organiziranega po kriterijih evropskih standardov EN 45000: nepristranost, neodvisnost, neprofitnost. Neodvisnost in nepristranost sta tesno povezana kriterija. Izpolnjuje ju samostojna institucija, ki ima v upravnih organih zastopnike tistih, ki so zainteresirani za njeno dejavnost, na primer predstavnike industrije, vlade, zavarovalnic. Pri tem noben od ustanoviteljev (oziroma lastnikov) ne sme prevladovati, zato je dobro, da jih je čim več in da so razpršeni. Ustanovitelji zavoda SIQ so poleg njegovega predhodnika, Instituta za kakovost in metrologijo, še Republika Slovenija, Gospodarska zbornica Slovenije in Zavarovalnica Triglav ter Iskra in Gorenje. V bodoče bomo poskušali pritegniti še več predstavnikov industrije, velike poslovne sisteme, Zvezo potrošnikov Slovenije, ... . V Svetu zavoda, najvišjem upravnem organu SIQ, so že zdaj poleg predstavnikov vseh ustanoviteljev predvideni tudi predstavniki velikih poslovnih sistemov ter Zveze potrošnikov Slovenije. Kriterij neprofitnosti zahteva, daje SIQ zavod in ne podjetje. Niti institucija kot celota niti njeno osebje ne sme biti pod nikakršnimi komercialnimi, finančnimi ali drugimi pritiski, ki bi lahko vplivali na tehnično razsojanje. To je v pogodbi o ustanovitvi zavoda posebej poudarjeno: "SIQ pridobiva sredstva za tekoče poslovanje s prodajo svojih storitev in z opravljanjem dejavnosti naosnovijavnih pooblastil. Presežki prihodkov nad odhodki se po sklepu sveta 61 Informacije MIDEM 23(1993)1, Ljubljana zavoda SIQ uporabijo za vlaganje v SIQ (razširitev in obnovo dejavnosti)." Odločitvi o ustanovitvi zavoda SIQ je poleg navedenih formalnih pogojev za mednarodno sodelovanje botrovala zlasti ideja o širšem delovanju - ne samo na preskušanju proizvodov in ne samo na področju elektrotehnike, kar je bilo torišče dejavnosti Instituta doslej. Vizijo razvoja SIQ danes predstavljamo z geslom: "Naj večina vprašanj s področja kakovosti v Sloveniji najde odgovor v SIQ". Tehniška področja delovanja Naše geslo zahteva najprej udejstvovanje na dovolj širokem polju tehniških področij. Tako smo dejavnost preskušanja proizvodov, ki ima v Institutu dolgoletno tradicijo, v zadnjih dveh letih dopolnili z dejavnostjo presojanja sistemov kakovosti. V načrtu imamo vrsto novih usmeritev: certificiranje osebja, tehnična pomoč izvoznikom, preverjanje kakovosti storitev, preverjanje ekološko prijaznih proizvodov. Tudi v okviru posameznih dejavnosti stalno osvajamo nova področja. Osnovne dejavnosti - Iz pogodbe o ustanovitvi SIQ kot nepristranski zavod opravlja na področju meroslovja In kakovosti naslednje osnovne dejavnosti: - raziskovaino-razvojna dejavnost na področju kakovosti, preskušanja in metroiogije; - preskušanje in certificiranje izdelkov na osnovi nacionalnih, mednarodnih in drugih standardov ter predpisov, izdajanje certifikatov in drugih listin o ustreznosti ter podeljevanje nacionalnih, mednarodnih in drugih znakov ustreznosti in kakovosti; - presoja in certificiranje sistemov kakovosti na osnovi nacionalnih, mednarodnih in drugih standardov ter predpisov in izdajanje nacionalnih, mednarodnih indrugih certifikatov terdrugih listin o ustreznosti; - opravljanje dejavnosti nacionalnega metro-loškega laboratorija in izdajanje ustreznih listin; - opravljanje dejavnosti zakonskega meroslovja, kalibracija etalonov in meril v okviru akredita-cijske sheme in tudi širše; - izobraževanje, organizacija posvetov in seminarjev ter svetovanje s področja dejavnosti Instituta. Preskušanje proizvodov Preskušanje proizvodov zajema tako preskušanje ustreznosti standardom za širok razpon proizvodov s področij elektrotehnike, elektronike in telekomunikacij, kot tudi pripadajoče raziskave in razvoj preskusnih metod. Institut ima dolgoletne izkušnje na naslednjih področjih: - Preskušanje končnih izdelkov, sestavov, komponent in materialov v pogledu varnosti in namembnosti; - Preiskave radiofrekvenčnih motenj in elektromagnetne kompatibilnosti ter izvajanje zadevnih preskusov; - Raziskave in preskušanje klimatske in mehanske odpornosti; - Preiskave na področju elektroakustike in vibracij; - Raziskave in preskušanje zanesljivosti. V teku je razširitev tega tehniškega področja na: - Preskušanje protieksplozijske zaščite; - Raziskave in izvajanje meritev neionizirnih sevanj; - Preverjanje ustreznosti programske opreme. Metrološka dejavnost Metrološki laboratorij Instituta, ki je bil eden od segmentov jugoslovanskega metrološkega sistema, se kot široko usposobljen laboratorij vključuje v slovenski metrološki sistem. Njegove aktivnosti zajemajo: - vzdrževanje etalonov osnovnih in izpeljanih enot za električne in druge fizikalne veličine; - vzpostavljanje metrološke sledljivosti etalonov Instituta do primarnih slovenskih oz. mednarodnih etalonov; - raziskave s področja metrološke dejavnosti; - pregled in žigosanje delovnih etalonov, merilnih instrumentov in naprav za električne in neelek-trične veličine; - umerjanje in justiranje merilnih instrumentov in naprav. Presoja sistemov kakovosti Tej dejavnosti se priznava vse večji pomen pri ustvarjanju zaupanja kupca v kakovost proizvodov. 62 Informacije MIDEM 23(1993)1, Ljubljana Razvoj in uveljavljanje zadevnih standardov (ISO 9000) in sistemov za certificiranje, ustanavljanje nacionalnih institucij za certificiranje sistemov kakovosti ter mednarodnih asociacij za medsebojno priznavanje rezultatov presoj kaže na to, da bo certificiran sistem kakovosti podjetja v prihodnje neizogibna zahteva trga. Cilj Instituta je doseči mednarodno veljavo in priznan status na področju certificiranja sistemov kakovosti. Akcija za vključitev v EQNet (evropska mreža institucij za certificiranje sistemov kakovosti), ki smojo pričeli v letu 1991, je v oktobru 1992 obrodila prve sadove: Institut je sprejet - v prvi fazi s statusom opazovalca - kot prva organizacija iz države, ki ni članica EGS ali EFTE, v mrežo, ki omogoča medsebojno priznavanje certifikatov za sisteme kakovosti. - Institut ima vzpostavljen sistem kakovosti, s čimer zagotavlja dosledno kakovost svojih storitev. - Institut je pionir v izpolnjevanju kriterijev naslednjih, pred kratkim sprejetih slovenskih standardov: - SLS EN 45001 Splošni kriteriji za delovanje preskusnih laboratorijev - SLS EN 45011 Splošni kriteriji za certifikacijske organe za področje certificiranja proizvodov - SLS EN 45012 Splošni kriteriji za certifikacijske organe za področje certificiranja sistemov kakovosti Mednarodno sodelovanje S posameznimi članicami EQNet sodelujemo tudi na osnovi dvostranskih dogovorov. Koristi takega sodelovanja so večstranske: slovenskim proizvajalcem je natanačin pridobitev mednarodno veljavnih certifikatov laže dosegljiva, našim strokovnjakom - presojevalcem pa se nudi možnost, da razvijajo in usklajujejo strokovne kriterije. Z usmeritvijo v mednarodno menjavo Institut slovenskemu gospodarstvu približuje cilje mednarodnih sistemov preskušanja in certificiranja: pospeševanje mednarodne trgovine, olajšanje certificiranja na nacionalni ravni na podlagi medsebojnega priznavanja, strokovno uveljavljanje in napredovanje preskušanja in certificiranja ter pomoč proizvajalcem in izvoznikom pri dokazovanju varnosti in kakovosti. Na osnovi usposobljenosti Instituta ter naših prizadevanj za kompatibilnost slovenske ureditve na področju preskušanja, certificiranja in metrologije smo v zadnjih nekaj letih uspeli vzpostaviti široko sodelovanje na mednarodnem nivoju: - Od leta 1991 delujemo v CB shemi - programu za medsebojno priznavanje rezultatov preskusov po standardih za varnost električnih izdelkov. - V letu 1992 smo podpisali pogodbo o sodelovanju s VDE-PZI. - Na podlagi bilateralnih dogovorov sodelujemo s TUV, CESI in DQS. - Praktično sodelovanje poteka z SQS, SEMKO in BSI. - V letu 1992 smo bili sprejeti - v prvi fazi s statusom opazovalca - v EONet. Usposobljenost - Institut je v 30-tih letih delovanja dosegel visoko raven ekspertnega tehničnega znanja ter pridobil vrsto pooblastil pristojnih državnih institucij za izvajanje preskušanja in certificiranja proizvodov ter verifikacije meril. - Institut redno sodeluje pri izmenjavi izkušenj med laboratoriji (CTL, EXACT), pri razvoju preskusnih metod, kot tudi pri mednarodnih medla-boratorijskih primerjalnih preskusih (v okviru CTL). - Institut je opremljen z najsodobnejšo preskusno in merilno opremo, ki se redno preverja v lastnem metrološkem laboratoriju. Bilateralni dogovori zajemajo preskušanje izdelkov v naših laboratorijih za tuje preskuševališče, priznavanje preskusov, opravljenih v IKM, s strani tujega preskuševališča, izvajanje postopka inšpekcije proizvajalcev za tuje preskuševališče in podobno. Institut daje pobude in sodeluje pri aktivnostih vključevanja Slovenije v vrsto evropskih asociacij: - EONet - evropsko združenje organizacij za certificiranje sistemov kakovosti - Eurolab - evropska organizacija nacionalnih zvez laboratorijev - WECC - zahodnoevropska zveza za kalibracijo - EOTC - evropska organizacija za preskušanje in certificiranje. 63 Informacije MIDEM 23(1993)1, Ljubljana Če primerjamo naše sposobnosti, usmeritve in ureditve s sodobnimi trendi v Evropi, ugotavljamo da, - je organizacijadejavnosticertificiranja v Institutu podobna kot v ekvivalentnih institucijah v Evropi (zamik manjši od 1 leta); - osvajamo novo področje presoje in certificiranja sistemov kakovosti (zamuda za večino evropskih držav ni večja kot 5 let); - osvajamo ocenjevanje in certificiranje programske opreme (kjer marsikatero evropsko državo celo prehitevamo); - osvajamo področje nadzora neionizirnega sevanja, kjer smo tudi pred marsikatero zahodnoevropsko državo. VDE-PZI VDE Prüf- und Zertifizierungsinstitut CESI Centra Elettrotecnico Sperimentale Italiano TÜV Technische Überwachungs-Vereine DQS Deutsche Gesellschaft zur Zertifizierung von Qualitätssicherungssystemen SQS Swiss Association for Quality Assurance Certificates SEMKO The Swedish Institute for Testing and Approval of Electrical Equipment BSI British Standards Institution EXACT International Exchange of Authenticated Electronic Component Performance Test Data CTL Committee of Test Laboratories (v okviru sistema IECEE) Razvojna pot SIQ od oddelka za meritve in kvaliteto do nacionalne institucije za preskušanje in certificiranje 1964 Oddelek za meritve in kvaliteto( v Iskrinem Zavodu za Avtomatizacijo) Merilni in preskusni center Iskre 1974 Institut za kakovost in metrologijo (IKM) (v okviru združenega podjetja Iskra) Preskuševališče elektrotehničnih proizvodov 1987 Izločitev IKM-a iz Iskre Mednarodno priznan neodvisni preskusni laboratorij 1992 Ustanovitev Slovenskega instituta za kakovost in meroslovje (SIQ) Nacionalna institucija za preskušanje in certificiranje Začetki Slovenskega instituta za kakovost in meroslovje segajo v pozna petdeseta leta. V Zavodu za avtomatizacijo, ki je tedaj predstavljal centralno razvojno institucijo Iskre, je bila preskusnim in merilnim tehnikam posvečena posebna pozornost. Vzporedno z raziskovalno-razvojnim delom se je ta dejavnost razvijala kot specifična tehniška disciplina, ki je v svoje vrste zajemala vedno večje število specialistov. Leta 1964je bil zato oblikovan poseben oddelekza meritve in kvaliteto, ki so mu bile poverjene naloge v zvezi s kakovostjo: sistematične preiskave kakovosti proizvodov, tipsko preskušanje in izvajanje preskusov proizvodov v vseh fazah njihovega nastajanja ter metrološke aktivnosti. Oddelek je bil zasnovan kot nevtralni preskusni in metrološki center Iskre: neodvisnost, nepristranost in poštenost njegovih aktivnosti so bile temeljne predpostavke že od vsega začetka, zato lahko leto, v katerem se je oblikoval, štejemo kot ustanovno leto neodvisnega preskusnega in metrološkega laboratorija, ki se danes po evropskih merilih oblikuje v nacionalno institucijo za preskušanje in certificiranje. V samostojno organizacijo, Institut za kakovost in metrologijo (IKM), se je oddelek za meritve in kvaliteto oblikoval leta 1974, ob reorganizaciji razisko-valno-razvojne dejavnosti Iskre. V osemdesetih letih, tj. v letih intenzivnega razvoja mednarodnih sistemov za preskušanje in certificiranje, je postala organizacijska povezanost IKM z Iskro formalna ovira za vstop v te asociacije. Neodvisnost preskusnega laboratorija oziroma certifika-cijskega organa je namreč osnovna zahteva za sodelovanje na mednarodnem nivoju. S privolitvijo vseh združenih organizacij Iskre je IKM aprila leta 1987 postal neodvisna organizacija. Leta od 1987 do 1992 so leta oblikovanja in ures-ničevanjanovih vsebin Instituta s končnim ciljem: postati usposobljena, v skladu z evropskimi in svetovnimi merili urejena nacionalna institucija za preskušanje in certificiranje. Slovenski Institut za kakovost In meroslovje Slovenian Institute of Quality and Metrology TržaJka 2, 61000 Ljubljana Slovenija / Slovenia tel.: +38 6 1 / 1 58 2 12 / 1 50 200 telefax: + 3 8 6 1 / 2 1 8 0 2 0 64 Informacije MIDEM 23(1993)1, Ljubljana PRIKAZI MAGISTRSKIH DEL, LETO 1992 Naslov naloge: Integrirani sistem za procesiranje signala mostičnega uporovnega senzorja pomika Avtor: Jože Vojkovič, dipl. ing Mentor: prof.dr. Janez Trontelj Univerza v Ljubljani, Fakulteta za elektrotehniko in računalništvo V magistrskem delu je opisana zasnova arhitekture monolitnega integriranega vezja za merjenje, kalibracijo in temperaturno kompenzacijo mostičnega uporovnega senzorja pomika. Integriran sistem ima nalogo sprejeti informacijo o pomiku, ki ga zazna mostični uporovni senzor. Informacija, ki jo sistem dobi v obliki analognega signala se nato procesira v posameznih delih, kjer pride do realizacije zahtevane funkcije. V prvem delu so opisane zahtevane funkcije sistema, ki opisujejo kako mora integrirano vezje delovati. V tem delu je tudi opisan koncept načrtovanja sistema od zgoraj navzdol, koncept ki omogoča načrtovanje hierarhično po blokih. Vsak blok predstavlja naslednji korak za realizacijo elektronike. Integrirano vezje mora Imeti možnost digitalnega procesiranja signala, kar pomeni da nastopa v vezju sistem za analogno digitalno pretvorbo. Kot najbolj primeren, se je izkazal sistem, ki deluje na principu dvosmerne integracije. Ta princip je bolj podrobno opisan na koncu prvega dela magistrske naloge. V drugem poglavju delo opisuje realizacijo posameznih funkcij integriranega sistema. Pri realizaciji je upoštevana mejna občutljivost sistema, ki je pogojena z motilniml signali. Med motilne signale spadata šum sistema, ki nastane zaradi operacijskih ojačevalnikov in uporov ter signale vhodne napetosti v operacijski ojačevalnik. Poleg realizacije zahtevanih funkcij so v tem poglavju predstavljene metode za kompenzacijo motilnih signalov. Prikazan je tudi način za temperaturno kompenzacijo sistema. Sistem za analogno digitalno pretvorbo da na izhodu binarni signal, ki ga sistem za digitalno procesiranje signala preoblikuje do želene oblike. Ta sistem je opisan v zadnjem delu drugega poglavja. V naslednjem poglavju je opisan zadnji nivo načrtovanja. Tu so opisani predvsem osnovni analogni in digitalni gradniki, iz katerih je sistem sestavljen. V zadnjem delu je opisana simulacija sistema za odjem in analogno digitalno pretvorbo In podan prikaz dobljenih rezultatov simulacije. Pri samem načrtovanju smo stremeli k čimbolj enostavnim rešitvam zahtevnejših funkcij obratovanja. Tako smo uspeli realizirati posamezne funkcije že v analognem delu in se s tem izognili kompleksnejšim digitalnim vezjem, oziroma uporabi mikroprocesorja. Naslov naloge: Nizkonapetostni analogni in digitalni integrirani sklopi CMOS Avtor: Andrej Čreplnšek, dipl.ing. Mentor: prof.dr. Janez Trontelj Univerza v Ljubljani, Fakulteta za elektrotehniko in računalništvo Načrtovanje vezij, kjer deluje translstor v podpragovnem področju, poteka ob zahtevi po zelo nizki porabi oziroma napajalni napetosti. Posebnosti pri načrtovanju vezij vtem področju se poznajo predvsem pri načrtovanju analognih vezij. Le ta normalno delujejo v področju nasičenja, zato je zanimiva primerjava teh dveh področij. — V področju nasičenja je odvisnost toka od krmilne napetosti kvadratlčna, v podpragovnem pa je ekspotencialna. — Transkonduktanca v nasičenju je sorazmerna korenu Iz toka, v podpragovnem pa je sorazmerna s tokom. — Pri enaki krmilni napetosti, kot je pri tokovni preslikavi, je največja sprememba toka v podpragovnem področju In najmanjša v področju nasičenja. — Pri enakem toku v ponor, kot je v diferencialnem paru, je razlika krmilnih napetosti največja v nasičenju, najmanjša pa v podpragovnem področju. — Termični šum je za enako velike transistorje večji v podpragovnem področju, ker je manjša transkonduktanca (gm). 1/fšum pa je odvisen le od dolžine in širine transis-torja in je zato v obeh področjih enak. Model MOS transistorja v podpragovnem področju, ki ga ponavadi uporabljajo načrtovalci, dobimo, če upoštevamo naslednje predpostavke: — Translstor deluje v nasičenju, kanal pa je tudi dovolj dolg, da lahko zanemarimo pojav modulacije kanala. — Generacija toka v izvoru in ponoru je zanemarljiva, tako da lahko rečemo, da je tok v izvoru in ponoru enak. — Gostota hitrih površinskih stanj in spreminjanje površinskih potencialov sta zanemarljivi. Kapacitivnost vrat je konstantna (4 • Ut + + Vs - 2*Ut), zato je v tem področju relacija med površinsko napetostjo (H's) In napetostjo med (Vg) linearna. Z upoštevanjem modulacije kanala, ki je potrebna za izračun Izhodne upornosti, dobimo naslednjo odvisnost toka skozi ponor od krmilne napetosti in napetost med izvorom In ponorom: VG f f^l ID = S Ido • -77-77: ■ e \uT - e ur Id = tok, ki teče v ponor S= razmerje med širino kanala in dolžino W kanala (S = ~j~) Ido= mirovni tok Ur = termična napetost Vg = krmilna napetost Vs = napetost med izvorom in substratom transistorja Vd = napetost med ponorom in substratom transistorja n = naklon krivulje lo (Vg) 65 Informacije MIDEM 23(1993)1, Ljubljana (t) = Fermijev potencial substrata transistorja (7-xje nastalo 0.15^m debelo področje, kjer je Mg iz podlage difundiral v plast, elementi plasti pa v podlago. To področje je veliko tanjše od debeline celotne plasti (1 |im). S profilmetrom in vrstičnim mikroskopom (SEM) smo analizirali površino in ugotovili, da je plast po pregrevanju močno kristalizirana. Opazili smo veliko različno usmerjenih kristalov, kar se ujema z razultati rentgenskih spektrov. Naslov naloge: Lateralni procesi pri sončnih celicah in sorodnih elementih Avtor: Saša Sokolič, dipl.ing. Mentor: prof.dr. Slavko Amon, dipl.ing. Univerza v Ljubljani, Fakulteta za elektrotehniko in računalništvo Za delovanje polprevodniških elementov so v večini primerov odločilni procesi prek polprevodniških spojev. Usmerniški kontakt, ki tako bistveno loči polprevodnike od drugih materialov, je dosežen na metalurškem stiku dveh različnih tipov polprevodnika. Zaradi tehnologije polprevodniških elementov se to najpogosteje dogaja v vertikalni smeri elementa. Vertikalna smer pri tem pomeni tisto, ki je pravokotna na rezino in lateralna tisto, ki je vzporedna s površino rezine. Tudi pri sončni celici tvori močno dopiran emitor skupaj s šibkejše dopiranim substratom usmerniški spoj. Električno polje ločuje prek usmerniškega spoja svetlobno generirane nosilce električnega toka ter jih pospešuje proti površinama. Spodnja površina, če je v celoti metalizirana, zelo uspešno zbira nosilce toka. Ker imamo lahko tako površino za ekvipotencialno, se nosilci toka prebijejo do kontakta vertikalno. Pri tem se jim upira le upornost substrata. Zbiranje toka na zgornji površini je veliko bolj zapleteno. Nasproti si stojita dve želji: — na površini naj bi bilo čim več kovine, ki bi čim uspešneje zbirala svetlobno generirani tok in ga odvajala k porabniku in — čim več površine naj bi bilo osvetljene, torej nepokrite s kovino, da bi se lahko generiralo čim več nosilcev toka. V praksi se izkaže, da je pri sončnih celicah delež površine, pokrite s kovino, razmeroma majhen, tipično 5-10% celotne površine. Zato se v sončni celici pojavijo nekateri, drugim elementom tuji efekti: v emitorju teče lateralni tok, zaradi nenične plastne upornosti pride do padca napetosti in izgube moči. Zaradi padca napetosti površina polprevodnika ni ekvi-potencialna. To pomeni, da za sončno celico ne veljajo eneke razmere po vsej njeni površini, saj se s spreminjanjem potenciala po površini spreminja tudi prevodna polariziranost usmerniškega spoja. Nehomogenost razmer na površini se lahko pojavi, če je del površine močneje osvetljen kot drugi. Močneje osvetljen del celice generira več toka kot slabo osvetljen, kar vpliva na porazdelitev potenciala po površini celice. Strukturni defekti so lahko naslednji vir nehomogenosti, saj povzročajo lokalne ponore za tok. Vsi ti pojavi, ki jih lahko poimenujemo kar lateralni pojavi, bistveno vplivajo na izhodno tokovno napetostno karakteristiko celice. Če spodnja površina ni v celoti metalizirana, tudi za njo veljajo podobne ugotovitve kot za emitor. Lateralni efekti so v splošnem dvodimenzionalen (2D) pojav. Če upoštevamo, da na lateralne efekte bistveno vplivajo tudi vertikalni procesi, lahko govorimo o trodimenzionalnih (3D) problemih. Reševanje vseh polprevodniških enačb (treh v najosnovnejšem primeru) v treh dimenzijah je najsplošnejši pristop k analizi polprevodniških elementov, ki lahko zajame tudi lateralne efekte. To je zelo zapleten pristop, ki se v praksi pri simulaciji sončnih celic in podobnih elementov ne uporablja. Komercialnih 3D simulatorjev (npr. DAVINCI podjetja TMA) je na razpolago razmeroma malo. Bolj običajni so 2D (npr. MEDICI podjetja TMA) in 1D simulatorji polprevodniških elementov. Medtem ko z 1D simulatorji polprevodniških elementov lateralnih efektov ne moremo opazovati, z 2D simulatorji lahko opazujemo 1D lateralne efekte. K sreči je problem v določenih primerih možno poenostaviti brez prehudih omejitev. Ta poenostavitev privede do reševanja nelinearne Pois-sonove enačbe za porazdelitev potenciala po površini emitorja v dveh dimenzijah. V nadaljevanju sledi prikaz poenostavljenega matematičnega pristopa k modeliranju lateralnih procesov pri sončnih celicah in drugih sorodnih elementih ter pogojev, ki za veljavo tega pristopa morajo biti izpolnjeni. Sledi vrednotenje uporabe poenostavljenega modela pri realnih monokristalnih in amorfnih sončnih celicah. Vpeljani so splošni izrazi za tok in močnostno bilanco celice, za katero velja poenostavljeni matematični model lateralnih procesov. Predstavljen je SIMCELL (SIMula-tor for šolar CELLs), računalniški program za analizo lateralnih efektov pri sončnih celicah, ki je bil razvit v Laboratoriju za elektronske elemente (LEE), Fakultete za elektrotehniko in računalništvo (FER) v Ljubljani. Bolj ali manj podrobno so raziskani številni praktični problemi pri sončnih celicah, povezani z lateralnimi efekti. Sem sodijo problemi, kot so vpliv geometrije, plastne upornosti in jakosti osvetlitve na izhodno karakteristiko celice. Naslednje pomembno vprašanje, tesno vezano na lateralne efekte v sončnih celicah, je serijska upornost sončne celice. Sledi študij optimizacije kontaktne geometrije, ki jo je možno izvesti le ob natančnem upoštevanju prav lateralnih efektov. Zadnji lateralni pojav, ki se ga v delu lotevam, je vpliv delnega senčenja na karakteristiko sončne celice. Za zaključek kratkega preleta lateralnih efektov pri sončnih celicah in sorodnih elementih lahko omenimo še dva problema, s katerima se natančno nisem ukvarjal, sta pa v tesni povezavi z omenjeno problematiko. Gre za problem nehomogenosti substrata, oziroma napak v rezini. Take napake se obnašajo kot lokalni ponori za tok, kar privede do problema lateralne porazdelitve potenciala. Metode, ki s podobnimi orodji kot SIMCELL karakterizirajo napake v substratu, je možno najti v literaturi. Kot zadnji problem lahko navedem še analizo senzorjev pozicije. Pri teh elementih iz tokov na posameznih kontaktih lahko določimo pozicijo žarka. Osnovna analitična teorija upošteva pravokotno strukturo in homogenost tokov, ki so proporcionalni upornostim od žarka do kontaktov. Ker homogenost tokovnic pri realnih elementih ne velja, prihaja do napak, kot je nelinearnost pozicije, kar je tipičen lateralni efekt. K temu sodijo še problemi kot so občutljivost senzorja na spremembo pozicije žarka, načrtovanje take kontaktne geometrije, ki bo zagotovila čim bolj homogene razmere, itd. Zavedati se je treba, da so za senzorje velikokrat zanimive tranzientne razmere, kar analizo dodatno zaplete. V prilogah A-C se nahajajo nekatera izvajanja, ki služijo boljšemu razumevanju določenih lateralnih efektov. V Prilogi D skušamo izvajanja in notacijo, narejeno za celico NP tipa, posplošiti na bolj običajno notacijo, ki velja za PN tip celice. V prilogi E se nahajajo publikacije, ki so plod dela na področju analize lateralnih efektov in v katerih sem skupaj s sodelavci natančneje raziskal določene pojave, vezane na tematiko tega dela. 68 Informacije MIDEM 23(1993)1, Ljubljana Naslov naloge. Toki, omejeni s prostorskim nabojem \ v amorfnem polprevodniku Avtor: Ivan Skubic, dipl.ing. Mentor: prof.dr. Jože Furlan Univerza v Ljubljani, Fakulteta za elektrotehniko in računalništvo Z razvojem mikroelektronike, ki se kaže v izboljševanju tehnologije, izdelavi novih elektronskih elementov ali celih vezij, uporabi novih materialov, izkoriščanju novih fizikalnih efektov ter vedno boljšem nadzoru kakovosti, postaja prav slednje -kontrola kakovosti ena bistvenih zahtev. Sem spada tudi ka-rakterizacija lastnosti elektronskih elementov ter številne metode, ki jih pri tem uporabljamo. Lahko gre za merjenje na končnih izdelkih ali pa kar je še pomembneje, za merjenje med samim tehnološkim postopkom izdelave. Sem spadajo tudi merjenja lastnosti materialov, ki jih uporabljamo za izdelavo elektronskih elementov. Eden takih dokaj novih materialov, ki pa se zaradi lastnosti tudi vedno bolj uporablja, je amorfni silicij. V nadaljevanju se bomo ozirali zlasti na ta material, čeprav bodo številni rezultati tudi dosti bolj splošno uporabni. Običajno želimo amorfni silicij (a-Si) nanesti v tankih plasteh na čim večje površine. Pridobivamo ga skoraj vedno z določenim odstotkom vodika (a-Si:H), ker mu ta zelo izboljša električne lastnosti. Obstaja pa kar nekaj tehnoloških načinov za njegovo pridobivanje: RF razelektritev s tlenjem (ang. "RF Glow Discharge"), DC razelektritev s tlenjem (angl. "DC Glow Discharge"), naprševanje (angl. "sputtering"), kemijska depo-zicija iz parne faze (angl. "Chemical Vapor Deposition") in drugi. Lastnosti amorfnega silicija so zelo odvisne od vrste tehnološkega spostopka s katerim ga pridobivamo ter od čisto konkretnih pogojev pri nanašanju, kot so temperatura, tlak, pretoki plinov, moč plazme, čistost komore in še mnogi drugi. Ker je za kvaliteten amorfni silicij za uporabo v elektroniki ena osnovnih zahtev po čim daljših življenskih dobah minorskih nosilcev električnega toka, se to odraža v zahtevi po čim nižji gostoti lokaliziranih stanj v mobilnostni reži amorfnega silicija. Ta zahteva pa je velikokrat prisotna tudi pri drugih polprevodnikih materialih. Tu imamo v mislih volumsko gostoto stanj, čeprav je dostikrat pomembna (kot omejujoč parameter) tudi gostota površinskih stanj - zlasti na meji med dvema materialoma. Tako za eno kot za drugo vrsto stanj obstajajo različne metode merjenja, čeprav žal niti enih niti drugih stanj zaradi narave metod na moremo popolnoma ločiti med samo. Kako nam metoda lahko izloči vpliv enih stanj in s kakšno točnostjo lahko merimo pa je že lastnost posamezne metode včasih pa tudi merjenje vzorca, če z gotovostjo lahko trdimo, da je določenih stanj zares zanemarljivo malo. Obstaja kar nekaj metod za merjenje gostote stanj in med njimi ni ostre meje za uporabo na amorfnih ali kristalnih polprevodnikih. Tudi bi jih težko označili kot boljše in slabše, ampak jih izberemo glede na konkretne zahteve. Največkrat pa se izkaže, da nam šele merjenja z uporabo različnih metod dajo vpogled na pravo strukturo materiala. Tudi tu obstajajo problemi, saj različne metode največkrat zahtevajo tudi drugačno strukturo vzorcev. Najbolj uporabljane metode za določanje stanj so FE (angl. "Field Effect"), DLTS (angl. "Deep Level Transient Spectroscopy"), TSC (angl. "Thermally Stimulated Current"), TSCAP (angl. "Thermally Stimulated Capacitance"), SCLC (angl. "Space Charge Limited Current") in druge, ki so večinoma le variante omenjenih metod ali pa nam dajo manj popolno informacijo o gostoti stanj (C-T-co metoda). V nadaljevanju se bomo ukvarjali predvsem s SCLC metodo. Zanimale nas bodo fizikalne osnove te metode, njena točnost ter možnost za njeno izboljšanje. Že sedaj pa lahko povemo, da je SCLC izrazito metoda, ki glede na svoja fizikalna izhodišča meri volumsko gostoto stanj. Zanjo je značilno še, da gre v primerjavi z ostalimi metodami za dokaj nezahtevna merjenja tokovno-na-petostnih karakteristik in da je čas, potreben za eno meritev kratek. O točnosti metode pa je žal težje razpravljati, ker prave gostote stanj ne poznamo. Pomagali bi si lahko z merjenjem po ostalih metodah, ki pa spet zahtevajo drugače pripravljene vzorce in tako nimamo opravka s predvsem istim materialom. Zato je bil poudarek tudi na analizi rezultatov računalniške simulacije a-Si:H n+-i-n+ strukture s programom ASPIN, ki je omogočila, da smo pri vnaprej znani gostoti stanj - izbrani model, analizirali pripadajoče tokovno-napetostne karakteristike z SCLC metodo in primerjali dobljene rezultate z modelom. V nadaljevanju naloge je še nekaj poskusov izboljšanja SCLC tehnike, zlasti kar se tiče teoretičnih izhodišč. Izkaže se, da nam v izhodiščnem sistemu enačb Poissonova enačba pri transformaciji problema Iz krajevno odvisnih na "efektivne" vrednosti lepo preide v enačbo Q = CV. Tako preoblikovan izhodiščni sistem enačb nas privede do skoraj povsem nove metode za merjenje stanj, kjer je poleg merjenja SCLC pomembno tudi merjenje C-V karakteristik pri visokih in nizkih frekvencah. Naslov naloge: Fotolitografski modul Avtor: Igor Macarol, dipl.ing. Mentor: prof.dr. Lojze Trontelj Univerza v Ljubljani, Fakulteta za elektrotehniko in računalništvo V fotolitografiji se prepletajo znanja z mnogih področij znanosti in tehnologije. Odpeljalo bi me predaleč, če bi v tem delu skušal raziskati vse, kar je danes na tem področju aktualno. Mnogo tega, kar je danes novega na področju litografskih postopkov, je zaradi potrebe po velikih materialnih vlaganjih težko dostopno. Opiral sem se na izkušnje pridobljene med študijem in praktičnim delom, ki sem ga opravil v oddelku Razvoj tehnologije družbe International Microelectronic Products iz San Jose v Kaliforniji. Temu so dodane še pozneje razvite metode za simulacijo ter smeri za izboljšavo procesa, za katere verjamem, da bodo posebno koristne v specifičnih razmerah našega novega Laboratorija za mikroelektoniko na Fakulteti za elektroteniko in računalništvo v Ljubljani, ki je trenutno še v fazi postavljanja. Med izdelavo integriranih vezij spreminjamo električne lastnosti substrata tako, da mu v izbrana področja vnašamo majhne količine primesi ali pa nanašamo druge tanke plasti. Omenjena področja določimo z litografijo, ki jo na grobo lahko razdelimo v dve fazi. V prvi preoblikujemo fotopolimer, ki je tanka plast na UVsvetlobo občutljivega polimera nanešena na substrat, v željeni vzorec. Tak fotopolimer v drugi fazi selektivno ščiti dele spodnje plasti pred nadaljnimi procesi. To delo se bo posvečalo izključno prvi fazi. To se poudarja, ker ima fotografija kot pojem širši in ožji pomen. Tu bo obravnavana v njenem ožjem smislu. V nadaljevanju bomo govorili izključno o prenosu vzorca s fotomasko v reljefno preoblikovan fotopolimer. Obstaja več tehnoloških pristopov: UVfotolitografija. litografija z rentgenskimi žarki, litografija z elektronskim snopom in litografija z ionskim snopom. Vsaka od njih ima svojo prednost in slabost, lahko pa rečemo, da danes večino integriranih vezij izdelajo z UV fotolitografijo, ki pa vse bolj zahteva fotomaske narejene po postopkih litografije z elektronskim snopom. Vtem delu je poudarek na fotolitografiji, kjer osvetljujemo fotopolimer občutljiv na UV žarke v področju 450 nm do 350 nm. Posebna pozornost bo dana koračnem naravnalniku tipa Ultratech 69 Informacije MIDEM 23(1993)1, Ljubljana Stepper 1100, na katerem sem imel priložnost delati med razvojem fotolitografskega modula za 1.2 |im CMOS tehnologijo v IMP in možnim rešitvam specifičnih problemov, s katerimi lahko računamo, da jih bomo še srečali v našem Laboratoriju za mikroelektroniko. Poglavja na nek način dokumentirajo razmišljanje, na podlagi katerega je nastal program FOLIS, ki je skrajšano ime za FOtoLItografski Simulator. Prvo poglavje se posveča fotopoli-meru in je namenjeno orientaciji med postopki v fotografskem modulu. Podani so osnovni principi delovanja fotopolimera, najznačilnejši postopki in cilji posameznih procesnih korakov v fotolitografskem modulu, ki temelji tudi na praktičnem delu. Na koncu je podan še model pozitivnega fotopolimera, ki je temelj za računalniško simulacijo. Drugo poglavje sledi dogodkom med projekcijskim osvetljevanjem in jedkanjem fotopolimera. Prepletajo se teoretični in praktični pogledi, ki so uglašeni na skupni imenovalec sestavljanja algoritmov, primernih za računalniško simulacijo prenosa vzorca s foto-maske v fotopolimer na rezini. Našteti algoritmi so temelj programa FOLIS. Tretje poglavje je pogled prek osnovenga postopka procesiranja pozitivnegafotopollmera. V podpoglavjih so obravnavane metode, s pomočjo katerih se lahko spoprimemo z najrazličnejšimi problemi, ki nastopijo v mejnem območju projekcijske fotografije. Program FOLIS je bil grajen dovolj prilagodljivo, da bo v simulacijo možno vključiti tudi naprednejše metode procesiranja. Menim, da je posedovanje programske kode za tako simulacijo velika prednost, saj se lahko program po želji sproti prilagaja gradnji procesa. V prilogi je poleg seznama literature podano še nakaj drugih informacij o programu FOLIS. To delo je po obsegu omejeno in vanj ni bilo mogoče vključiti mnoga spoznanja, s katerimi sem se srečal pri praktičnem delu in teoretičnem proučevanju na področju fotolitografskega modula ali z njim povezanih tehnologij. Mislim predvsem na razne metode karakterizacije procesa, ki so namenjene nadzorovanju procesa in nimajo pravega pomena za simulacijo FOLIS. Podobno velja za karakterlzacijo pravilnosti delovanja koračnega naravnalnika In različne druge meritve in kalibracije, ki so tudi povezane s fotolitografskim modulom in sem jih v praksi mnogokrat izvajal. Ne glede na to lahko na koncu ali pa začetku izrazim zadovoljstvo, da mi je to magistrsko delo, ki je bolj teoretične narave, če izvzamemo programiranje, ponudilo nova in utrdilo stara, tudi praktična spoznanja s tega področja. Naslov naloge: Dopiranje silicija z difuzijo v submi-kronskih MOS tehnologijah Avtor: Boštjan Gspan, dipl.ing. Mentor: prof.dr. Lojze Trontelj Univerza v Ljubljani, Fakulteta za elektrotehniko in računalništvo V magistrskem delu je opisan poskus dopolnitve obstoječega proizvodnega procesa (osnovni gradnik vezja je par n in p kanalnegatranzistorja) integriranih vezij CMOS, v proces BiC-MOS (CMOS vezjem dodamo še bipolarne tranzistorje). Jedro problema je izdelava kolektorskega vložka za bipolarni npn tranzistor, ki se pojavlja kot element v vezjih BiCMOS. Ker v laboratoriju ni epitaksijskega reaktorja, je bil kolektorski vložek Izdelan s postopkom difuzije. Za kolektorski vložek se zahteva čim nižja upornost (1.5 do 2.5 Ocm ) silicija in čim večja globina (okrog 5 ^m) spoja med n in p tipom polprevodnika. Za merjenje profila porazdelitve primesi v siliciju in globine spoja med p in n plastjo silicija je bilo potrebno izbrati primerno merilno metodo. Uporabili smo metodo porazdeljene upornosti. Na osnovi rezultatov meritev, smo določili parametre za računalniško simulacijo procesa in primerjali rezultate simulacije z merjenimi. Dobro ujemanje rezultatov simulacije in meritev dopušča razvijanje procesa s simulacijami. Primesi so bile vnesene v rezino na več načinov. Najprej smo uporabili implantacijo fosforja. Po implantaciji fosforja smo na rezino deponirali plast polikristalnega silicija, ki smo ga dopi-rali. Polikristalni silicij je služil kot izvor primesi pri difuziji z omejeno količino primesi. Meritve so pokazale, da je metoda C-V neprimerna za merjenje profila porazdelitve primesi v rezinah s tako visoko površinsko koncentracijo primesi kot so jo imeli naši vzorci. Zato smo izbrali za merjenje profila porazdelitve primesi metodo porazdeljene upornosti. Meritve kažejo, da so globine spojev med 5.2 in 5.4 um. Koncentracija primesi na površini rezine je bila od 4 x 10'9 do 1 x 1020 cm"3. Simulacija difuzijskega postopka je bila narejena s programom Suprem-4. Suprem-4 je dvodimenzionalni simulator procesa proizvodnje integriranih vezij. Dvodimenzionalnost pomeni, da program upošteva tudi lateralno difuzijo, kije ne želimo, vendar obstaja. Lateralna difuzija postaja problem v vezjih, ki imajo majhne kritične dimenzije. Simulacije s procesnimi podatki izdalave rezin so dali rezultate, ki se dobro ujemajo z izmerjenimi globinami in koncentracijami. Globine p-n spoja simulacij in meritev se ujemajo, koncentracije pa so prvega reda velikosti. Naslov naloge: Večplastna optična vlakna in periodične strukture Avtor: Ljubiša Tančevski, dipl.ing. Mentor: prof.dr. Joško Budin Univerza v Ljubljani, Fakulteta za elektrotehniko in računalništvo V magistrski nalogi je podana obravnava večplastnih vlaken. Ta vlakna imajo položno disperzijo v širokem spektralnem področju. Disperzija je izračunana z upoštevanjem spektralne odvisnosti lomnega količnika. Razvita sta bila dva postopka za sintezo takšnih vlaken. Prvi temelji na Povvellovi večparame-trski optimizaciji z minimiziranjem srednjega kvadratnega odstopanja disperzije od želenega poteka. Drugi postopek temelji na reševanju sistema nelinearnih enačb po Nevvtonu, kjer zahtevamo nično disperzijo pri določenih valovnih dolžinah in vnaprej predpisano velikost svetlobne pege. Podana je tudi korelacijska analiza med velikostjo svetlobne pege in disperzijo pri X = 1,55 (im za ta vlakna. Obravnavane so filtrske lastnosti smernih sklopnikov v planarni in vlakenski izvedbi. Izračunani so spektralni diagrami sklopnikov sestavljenih iz dveh enakih vlaken in sklopnikov sestavljenih iz navadnega in večplastnega vlakna. Pokazano je, da to učinkovito zmanjšuje pasovno širino. Filtre s precej manjšo pasovno širino realiziramo z uporabo periodične korugacije, ki skaplja napredujoči in odbiti val. Izračunan je sklopni koeficient periodične korugacije z razvitjem v Fourierovo vrsto. Podana je izpeljava pasovne širine. Narisani so spektralni diagrami filtra s korugacijo. Razvit je tudi postopek za analizo aperiodične korugacije. Postopek orfiogoča izračun odbojnosti korugacije poljubne oblike in periode. Postopek je uporabljen za izračun odbojnosti filtra z linearno spreminjajočo se periodo korugacije in za filter z A/4 premaknjenima resonatorjema. 70 Naslov naloge: Študij sinteze multialkalijske antimo-nidne fotokatode Na2KSb(Cs) Avtor: Bojan Erjavec, dipl.ing.fiz. Mentor: izr.prof.dr. Jože Gasperič Univerza v Mariboru, Tehniška fakulteta p.o. Visokoobčutljiva polprepustna multialkalijska antimonidna fo-tokatoda Na2KSb(Cs) je sestavljena iz p-tipa homogenega polikristalnega osnovnega sloja Na2KSb s čim večjimi in teks-turi ranimi kubičnimi kristali ter tankega filma elektro- pozitivnega Cs, kemisorbiranega na površini osnovnega sloja zaradi znižanja fotokatodne elektronske afinitete. Sinteza spojine Na2KSb se izvaja s kemijskimi reakcijami pri povišanih temperaturah v ultra visokem vakuumu med naparjeno plastjo Sb in kovinskimi parami Na in K. Tanek adsorbiran film Cs se nanese z izmeničnimi naparevanji Sb in uvajanji Cs. Sinteza fotokatode poteka in situ na podlago iz steklene vlaknaste optike, ki je sestavni del 25 mm elektrostatskega slikovnega ojačevalnika prve generacije. Foto občutljivost rastoče fotokatodne plasti se spremlja neprekinjeno. Dinamika parnih tlakov alkalijskih kovin se nadzira z Langmuirjevo ioni-zacijsko diodo, ki je povezana z elektronko s cevjo znane prevodnosti. Narejena je termodinamska ocena adicijskih in substitucijskih reakcij nasičenih monoalkalijskih in bialkalijskih spojin, ki potekajo med sintezo homogenegaosnovnegasloja. Identificirani in pojasnjeni so sintezni koraki, s katerimi se izvaja p- Informacije MIDEM 23(1993)1, Ljubljana dopiranje osnovnega sloja, ustvarjajo n-tipa površinska stanja na osnovnem sloju in ustvarja površinski dipolni sloj Cs-Sb ali vgrajujeta Cs in Sb v n-tipa površinsko spojino na osnovnem sloju. Na koncu so navedene časovne spremembe parnih tlakov alkalijskih kovin, ki neugodno vplivajo na sintezo viso-koobčutljive fotokatode. Nalsov naloge: Karakterizacija nizkotlačnih plazem z Langmuirjevimi sondami Avtor: Miran Mozetič, dipl.ing.fiz. Mentor: red.prof.dr. Alojz Paulin Univerza v Mariboru, Tehniška fakulteta Prikazujem metodo karakterizacije nizkotlačne plazme z Langmuirjevimi soondami. Izdelal sem standardne enojne in dvojne električne sonde. Z njimi sem izmeril temperaturo elektronov, gostoto nabitih delcev v plazmi, potencial plazme in Debyjevo dolžino v nizkotlačni šibkoionizirani vodikovi plazmi, ki jo generiram v razelektritveni komori visoko vakuumskega sistema prek induktivno vezanega RF generatorja. Razvil in izdelal sem tudi specialne Langmuirjeve sonde, s katerimi sem izmeril koncentracijo atomarnega vodika iz plazme in parcialni tlak atomarnega vodika v mešanici atomarnega in molekularnega vodika. V naslednji številki Informacij MIDEM bomo objavili povzetke doktorskih del v letu 1992 VESTI ELEKTRONICKA INDUSTRIJA EKONOMSKI INDIKATORI Poiuvodička industrija Poiuvodička Industrija svijet Isporuke poluvodiča 1 .kvart 4. kvart 1 .kvart Ukupno godišnje Ukupna prodaja 1991. 1991. 1992. 1991. 1992. 1993 - Svijet ukupno 13.47 13.78 13.83 54.61 58.02 65.04 - U.S.A. 3.78 3.89 4.20 15.38 17.32 19.78 - Japan 5.14 5.26 4.57 20.94 20.62 22.96 - Evropa 2.68 2.45 2.74 10.11 10.23 11.43 Ostatak svijeta 1.85 2..16 2.30 8.18 9.74 10.87 Integrirani sklopovi 10.96 11.30 11.42 44.64 48.68 54.20 Diskretni elementi 2.51 2.48 2.41 9.97 10.54 11.45 Zaposlenost u poluvodičkoj industriji SAD (u tisučama) April Mart April 1990. 1991. 1992. Zaposleno radnika 234.1 223.5 223.4 Prosječno godišnje 1991. 1992. 1993. 233.5 223.0 224.4 Isporukeelektroničkeidustrije SAD (10 $) 1. kvartal 2. kvartal 3. kvartal 4. kvartal sveukupno 1991 .g. 1992.g 1993.g 67.5 71.5 76.5 68.0 71.8 77.2 68.5 72.5 79.0 71.2 74.5 81.5 275.2 290.3 314.2 Predvidanje prema "Cahners Economics" 71 Informacije MIDEM 23(1993)1, Ljubljana Rastu cijene integriranih sklopova Srednje prodajne cijene integriranih sklopova na svjetskome tržištu bile su porasle u prvome tromjesečju 1992. godine na prosječno 1.47$ po komadu, što je znatno više od cijena u posljednjem kvartalu 1991. i prosječne cijene tokom cijele 1991. U drugome i trečem tromjesečju 1992. cijene su pale na prosječno 1.40$ po komadu, ali ne za dugo. U četvrtom tromjesečju 1992. cijene integriranih sklopova bile su više nego u prvome tromjesečju. Predvida se da če se na tome nivou cijene zadržavati i tokom 1993. godine. (Izvor: SIA) INDUSTRIJSKE NOVOST1 New Eureka Project Will Boost European GaAs Work All of seven European producers of GaAs devices have expressed strong interest in a new Eureka initiative that is designed to promote European work on gallium arsenide. This initiative, which was aproved for funding from the European Commission (EC) at Eureka Ministerial Conference at Tampere in Finland in May, is the result of detalied talks beetwen the participating companies and a working party of government officials from the U.K., France, Germany, The Netherlands and Italy, as well as from the EC. A total funding between $27 and $37 million per year will be required from the participants, their respective governments, and EC. The work is to be led by GEC Marconi Materials Technology Ltd. of Caswell, Northamptonshire, England. The other parti-cipiants are Deimler Benz and Siemens in Germany, Thomson TCM in France, Philips Microwave in U.K. and France, and Alcatel Telettra and Alenia in Italy. The discussions, which also took into account user views, held last year, were initiated by a report commissioned by the British government's Department of trade and Industry on the technical and commercial capabilities of the European gallium arsenide electronics companies. This report argued that GaAs, with its distinct advantages over silicon in a number of areas, likely to form the basis of many highly specialized components in a range of applications ranging from personnel communications to collision warning products. The Eureka project is aimed at identifying a number of perceived weaknesses within the European industry and at improving links between equipment, materials, and user companies. The British government's Minister for Industry, Baroness Denton, said, "Under The Eureka initiative, gallium arsenide will have a chance to shine and Europe to become a keen competitor for Japan and America in this field". (Preuzeto iz Semico-ductor International). German Industrialist Calls for European Industry Policy Franz-Josef Wissing, chairman of the Zentralverband Elektro-technik und Elektronik Industrie (the German electrical and electronic industry association) has called for the development of a European policy for semiconductor production. He said that nearly 45% of his country's gross national product depends on microelectronics products and that German companies will increase their purchases of microelectronic devices from about $ 3.0x109 to more than $ 8.9x109 by the turn of this century, when some 60% of manufacturers will rely on integrated circuits. "A new policy is required for unified Europe to compete in todays global economy", said Wissing. "There is no assurance that free- market mechanisms will lead to a sufficient solution. Some goverment subvention will be neded". Wissing expressed of JESSi, and felt that leading chip producers should collaborate with medium-size manufacturers. (Preuzeto iz Semiconductor International) French to Make Vacuum Array Displays The Franch venture capital-backed group Pixel International is to commercialize field emission vacuum displays. The technology employs arrays of micron-sized vacuum devices manufactured in silicon to form flat panel color displays. The technique was demonstrated some two years ago by the French Leti company, part of the French nuclear center. Leti has been seeking a partner from Europe or from the Far East to develop the technology. Pixel is led by Jean-Luc Grand-Clement, formerly chairan and chief executive officer of European Silicon Structures. It will develop the technology. The Pixel consortium is expected to make the displays in small volumes for prototyping and small quantity requirements and to license companies around the world for large volume production. (Preuzeto iz Semiconductor International). TEHNOLOSKE NOVOSTI JOSEPH SON-J UNCTION FLIP-FLOP TOGGLES AT A RECORD 144 GHz A recently developed flip-flop, based on Josephson-junction technology, toggles at a record- breaking speed of 144 GHz. The device, demonstrated by Hypres Inc., Elmsford, N.Y., works at a 4.2K, the temperature of liquid helium. Built on a3.5|im niobium process, the flip-flop is a power miser, using just 1,6|iW. Moreower, It's been cascaded to build 4-and 32-bit shift registers operating at 60 and 45 GHz, respectively. The complete 32-bit register doesn't require much power either. It needs a more 100 |iw of power. These results were obtained using the RSFQ (Rapid Single-Flux Quantum) superconducting logic family. The concept of RSFQ was developed at Moscow University by Konstantin Likharev, V.K. Semenov, Sergei Rilov, and Oleg Mukhanov (Likharev and Semenov are currently at the State University of New York at Stony Brook; Rylov and Mukhanov work at Hypres Inc.). It represents logic ones and zeros as the presence or absence of a quantized magnetic fluxon. The fluxon takes the form of a voltage pulse with an amplitude of 1 mV and a width of 2 ns. Nonlatching Josephson junctions generate, transmit, and logically combine these ultra-short pulses. The nonlatching junctions are 30 times faster and require 1/10 the power of previously used latching designs. The technology also combines the advantages of low power dissipation with natural self-timing as well as insensitivity to power supply voltage changes. The nonlatching junctions have been successfully fabricated using newer high-temperature superconducting (HTS) materials, allowing designers to build RSFQ logic circuits at the temperature of liquid nitrogen. 72 Informacije MIDEM 23(1993)1, Ljubljana The togle flip-flop or prescaler circuit the fastest RFSQ cell represents the key of the family's logic-gate design. As a result, the operating frequency of the togle flip-flop circuit is critical for projecting the performance of arbitrary RSFQ-based circuits. Because its said to be simple to test and is easily expandable to high gate-density levels, the shift register is being offered as an ideal circuit to "prove the technology". There are many applications for the circuit. These include transient digitizers, low-power satellite correlation receivers, and digital signal processors. The extremely fast switching speeds of the RFSQ cells and their short aperture time can be traded off for an ADC design with either a higher accuracy or a larger signal frequency band. Two types of RFSQ Josephson-junction ADCs have been developed over the last decade: parallel-input and serial-input types. Though the parallel-input RFSQ Josephson-junction ADCs deliver the highest-frequency performance, they do require a simultaneous delivery of ultrafast input sampling wave-forms. (Preuzeto iz Electronic Design Ay 1992.) CAD Vendor Helps Educate Eastern Europe As part of its participation in a program aimed at sharing technology research with Eastern European engineers, Technology Modeling Associates (TMA) Inc. Palo Alto, Calif., will contribute its technology computer-aided-design (TCAD) software to a Romanian university. TMA will also send company specialists to Eastern Erupean companies. The program is part of the Trans- European Mobility Scheme for University Studies (TEMPUS) project, which is designed to bring advanced semiconductor-related aducation ant training from Western Europe to partners in Eastern European nations. Tempus partners include leading European academic and goverment research groups who plan to develop an up- to-date curriculum, focusing on electron devices, at Romanias Polytechnical Institute of Bucharest, as well as build a supporting a state-of-the-art laboratory. Two TMA researchers will teach a course called "Curriculum development in the field of analysis and modeling of VLSI structures". ( From "Electronic Design") Electrolysis Technique Improves Mlcromachining Researchers at Sandia National Laboratories, Albuquerque, N.M., developed an improved process for uniformly etching michromachined silicon structures. The process involves the electrolysis of silicon in hydrofluoric acid to make a porous, very thin layer of silicon on top of a silicon wafer. This intermediate porous phase enables silicon to be etched away with no more than a 0.03% variance in results, according to Sandias Terry Guilinger, a member of the research team that developed the process. Because the etching rate of silicon is directly proportional to the current passed in the electrochemical cell, the depth of the porous silicon can be regulated by controlling the charge through the electrochemical cell. After being immersed in the acid, the silicon wafer is removed and the porous cell is etched by bathing in a hydroxide solution at room temperature. A mirror, ratherthan matte, finish is achieved due to the uniformity of pore depths. Sandia claims that the new method is completely compatible with standard wafer-fabrication procedures. A patent on this process has been issued to the U.S. Department of Energy, which operates Sandia Laboratories. The DOE has also filed a patent application for a humidity sensor that is also fabricated by new process. The sensor is to be used inside IC packages. (From "Electronic design") 1993: More process tehnologies with frequent departures of AMS' Multi-Product Wafer Train Austria Mikro Systems International (AMS) announces its new 1993 Multi-Product Wafer (MPW) Train Service Schedule for 0.8 n,1.2 |i, 2|i and 3^ CMOS processes, this capabiltiy, also known as shared silicon technology, allows the parallel processing of several devices on one wafer for engineering samples. The benefits of AMS1 MPW Train Service for customers is that development charges are reduced by about 50 percent due to reduced mask shop and fabrications costs. Span times are also kept at a minimum. Furthermore, MPWs allow at a very little extra cost the parallel study of design options at once without lengthening development times. AMS groups devices with compatible processes on a wafer. AMS receives a tape input from the customer and delivers packaged parts. More runs are now available for each 3 ¡a, 2 |i, 1.2 n and 1 n plus one for the new 0.8 (a. process. The "Wafer Train" will depart at the following schedules: Processes: Tape In: Engineering Samples Out: 3nCMOS May 7,1993 September 10,1993 June 25, 1993 October 29,1993 2 |i CMOS March 19,1993 May 21,1993 July 23,1993 November 12,1993 May 14,1993 July 15,1993 September 24,1993 January 7,1994 1.2 |Li CMOS April 23, 1993 June 18,1993 August 20,1993 October 15,1993 December 13,1993 June 17,1993 August 19,1993 October 28,1993 December 9,1993 February 4,1994 1.0 |i CMOS: March 4, 1993 June 4,1993 August 27,1993 November 26,1993 May 6,1993 July 29,1993 October 21,1993 January 21,1994 0.8 n CMOS: July 30,1993 October 1,1993 73 Informacije MIDEM 23(1993)1, Ljubljana Participation is guaranteed provided customer's data input arrives on time. The practical implementation of MPW runs has succeeded in the recent past due to the significant efforts initiated in data preparation, mask making and assembly and the coordination of these activities. AMS1 successful installation of a MPW service was made possible because of AMS' flexible and integrated facilities, which provide the necessay in-house mask making, data preparation, wafer fabrication, assembly and test. Note: AMS is believed to be one of the only MOS facilities in Europe currently handling and providing full in- house services for Multi-Product Wafer Projects. New Digital Signal Processor with Analogue Frontend Austria Mikro Systeme International announces the AS3501, a versatile application specific digital processor (DSP) that combines a 13 bit Sigma Delta analogue frontend with a reduced instruction (RISC) DSP and a mask ROM. The new device, in a single 5V1.0 CMOS design, is specifically tailored for battery powered operation in handheld mobile telephones and ideal due to its unique power saving modes and very low power consumption. The AS3501 is a preprogrammed application specific standard product that complies to all GSM speech processing recommendations. The new device is AMS' first for the low power digital signal processing market that is growing rapidly with the introduction of digital mobile telephony. Key features of the AS3501 include: a 2k x 42 bit programme ROM containing all GSM 6.XX functions, an on-chip 13 bit Sigma Delta Codec with bandlimiting filters; an analogue frontend for handset and handfree operation; a frequency synthesizer for alert, call progress, monitoring, tone and DTMF generation; a hard- wired I/O with dual port RAM for zero DSP overhead; 13MHz masterclock frequency with 77ns cycle time; a 16 bit parallel host interface port and a highly flexible serial channel codec port. The product was designed with generator design tools and can be specifically customized for different ROM/RAM sizes, different peripheral building blocks and even alterable instruction sets. These building blocks allow the rapid design of versatile ASIC products with mask programmable ROMs to cover all speech processing tasks like preprocessing, analysis, synthesis and recognition used in all new mobile communication systems and in new man machine interfaces that use the human voice. Samples of the new device will become available In a PLCC-68 or QFP-100 package from the second quarter onwards. For a free data sheet and further information please contact your local SMS Sales Office or AMS Corporate Communications, Schloss Premstatten, A- 8141 Unterpremstâtten, Austria. TO SYSTEM SIMULATOR FOR TYPE CONFORMANCE TEST CONTROLLER INTERFACE AS3501 - GSM lull uile vocoder with analogue Uonlena lot digit, u cellular communication 74 Informacije MIDEM 23(1993)1, Ljubljana Note to the Editor: Conventional methods of digitizing speech in wire based telephony use pulse code modulation techniques which require a transmission rate of 64kbit/sec for adequate quality. CMOS PCM codecs are widely used today in all digital switching equipment. To reduce the amount of spectrum used for coding in the new digital cellular telephony arena ways sought to reduce the 64kbit rate to below 16kbits. The Pan European GSM standard has defined a speech vocoder which uses complex digital signalling techniques to compress speech information into a manageable data rate with a superior quality. The coder chosen for GSM reduces the bit rate to 13kbits/sec. Planned improvements in the area of speech coding to increase system capacity include an advanced speech vocoder to help speech channels to work at half rate (6.5kbits/sec). The same vocoders will be used for the Personal Communication Network (PCN) that will level off mid of the decade by providing a microcell topology for a mass market portable phone system that will even further fill the need for such vocoders. Europe has now taken the lead in Digital Cellular Telephony with the introduction of GSM end of 1992. The new US Digital Cellular Standard has adopted similar approaches by using a VSELP based algorithm that reduces the bit rate to 8kbits. Japan is currently also working on a similar standard. Key Features: □ Single Chip GSM Vocoder based on the AMS 16-Bit RISC-Core DSP □ 2k x 42 bit Programm ROM contains all GSM 6.XX Functions □ Low Power Design for Cellular Pocket Phone Applications □ On Chip 13-Bit Sigma Delta Codec with Bandlimiting Filters □ Analogue Fronted for Handset and Handsfree Operation □ Frequency Synthesizer for Alert, Call Progress Tone and DTMF Generation □ Hardwired I/O with Dual Port RAM for Zero DSP Overhead □ 13 MHz Masterclock Frequency with 77ns Cycle Time □ DSP Activity For the Full Set of GSM 6.XX Recommendations is < 25% □ 16-Bit Parallel Host Interface Port □ Highly Flexible Serial Channel Codec Port □ Digital Audio Interface for Type Conformance Testing □ Emulation and Boundry Test with IEE 1149.1 JTAG Port Contact: Dr. Conrad Heberling, ext.277 Schloß Premstätten A-8141 Unterpremstätten, Austria Telex 312547 ams a fax (03136) 52 501, 53 650 tel.: (03136) 500-0* AMS Presents New Telephone Chip Set Concept AMS presents a new range of chip set resulting from the AMS telecom philosophy, the foremost aim of which is to provide telephone manufacturers with overall cost-efficient concepts based upon flexible telephone ICs. Flexible, because the concepts can be stripped down or up-graded by deleting or adding circuits without a complete redesign. The ICs of the various chip sets - the total number of components varies depending on PTT requirements, type of handset, customer demands, etc. - are modular building blocks which can be combined to form complete telephone concepts from low end to high end comfort feature telephone sets. Individually composed chip sets can be obtained through selecting devices from the following ASICs: The AS2502, the basis of all AMS concepts, includes line adaptation and line supervision - precise monitoring of the supply voltage, complete handset functions and power and system management. This circuit extracts the necessary power for supplying peripheral circuits from the telephone line. The AS2575 and AS2577 are combined pulse/tone dialler circuits with varied features; the AS2576 and AS2578 are pulse/tone diallers which include tone ringer functions. mU'HONE UNE ¡1 AS2574 AS2575 AS2576 AS2577 AS2578 --- 1 Vreo -vdo - LlttE AO AFTER wrrw ENHANCED 8P€E CH cmcurr Vaso DISPLAY DRIVER I ( DISPLAY ) AS2590 -r TONE WNOER SWITCHING RKQ. LOUDHÉARWQ HANDSFREE AS2510 AS2512 AS2514 AMS Modular Chip Sets The AS2562 performs all tone ringer functions which include programmable melody generation, ring frequency discrimination and programable attenuation. 75 Informacije MIDEM 23(1993)1, Ljubljana The AS2510 contains a combined loudspeaker amplifier for both listening-in facility and tone ringing. It also incorporates a switching converter for extracting dc supply from the ringing signal. The AS2512 combines the functions from the AS2510 and AS2562, providing "on-hook" dialing (call progress monitoring). The AS2514 adds handsfree to the functions of the AS2512. All circuits are solely powered by the telephone line and each of the above devices can be adapted to national PTT rules and regulations. Comprehensive system know-how combined with new ideas and technologies have been implemented at AMS to create the above circuits which provide the telephone manufacturer with the possibility to build his own unique telephone system. For further information please contact your local AMS Sales Office or AMS Corporate Communications, Schloss Premstat-ten,A-8141 Unterpremstatten. Note to the Editor: What makes the AMS telephone chip sets unique? Complete concepts including all necessary ICs from AMS (EEPROMs can be added if appropriate) are available for the telephone manufacturer. This means that all circuitry in the telephone has been considered and all components defined with type and value. All ICs (except for EEPROMs) have been or are being designed, developed and manifactured by AMS. Existing telephones on the market usually include ICs from differrent semiconductor manufacturers making more discrete components necessary to facilitate closer compatibility to one another. This in turn makes the telephone far more expensive - up to 30%! A higher degree of integration usually results in lower overall costs since the total component count is reduced by an average of 60%, which in turn cuts the total costs by up to 30%: For the medium to high end telephone currently utilizing approximately 310 external components a reduction of at least 200 components can be achieved! And, this approach increases system relability and drastically reduces design span times and efforts by 50%. Another challenge was to achive a high degree of integration and allow the telephone manufacturer to maintain his own design style, to put his own "label" on the telephone and to adapt it to different PTT requirements in an ever changing market. The adaption to different PTTs is realized by changing the values of a few discrete components and by choosing between a wide range of plug-in compatible IC-versions. All ICs are in the same advanced CMOS technology which allows partitioning of the functions of the ICs for reasons of modularity rather than of limiting technological capabilities. Furthermore, the functional blocks or macro cells be taken from the same library and can be used in differentt ICs. Direct wake-up is an AMS patented solution providing line seizure with a telephone, entirely powered by the telephone line, by pressing a key matrix connected to a dialler/controller that is constantly connected to the line by means of a line voltage limiter and yet maintains a high dc isolation resistance (>10Mi2) in idle state (on-hook). The wake-up feature allows the user to go off-hook by presing a direct memory key or a loudspeaker key; so-colled on-hook dialing or call progress monitoring which thereby siezes the line. The same overall ground potential of the AMS telecom devices ensures reduced RFI (Radio Frequency Interference) and an easy and uncomplicated interface between sub-circuits, ICs and djscrete circuitry. The AMS chip sets are compatible to new and old analogue telephone systems world wide. They can be used in any telephone instrument or telephone related equipment connected to an analogue telephone line. To the knowledge of AMS there are no competitors, since no other semiconductor manufacturer offers complete telecom solutions! MIEL-SD 93 ANNOUNCEMENT AND CALL FOR PAPERS 21 CONFERENCE ON MICROELECTRONICS, MIEL93 29th SYMPOSIUM ON DEVICES AND MATERIALS, SD93 September 29 - October 1, 1993 BLED, SLOVENIA ORGANISERS MIDEM - Society for Microelectronics, Devices and Materials, Ljubljana, Slovenia SPONSOR Ministry of Science and Technology of Republic Slovenia Iskra Števci, Kranj, Slovenija Iskra Tel., Kranj, Slovenija Iskra Terminali, Kranj Slovenija PROGRAMME COMMITTEE MIEL-SD 93 Radko Osredkar, Slovenia Slavko Amon, Slovenia Marko Hrovat, Slovenia Marija Kosec, Slovenia Anton Zalar, Slovenia ORGANISING COMMITTEE Pavel Jamnik, Slovenia Franc Jan, Slovenia Meta Limpel, Slovenia 76 Informacije MIDEM 23(1993)2, Ljubljana Igor Orel, Slovenia Rudi Ročak, Slovenia GENERAL INFORMATION The 21st conference on microelectronics MIEL93 continues the tradition of the annual international conferences organised by MIDEM, Society for Microelectronics, Electronic devices and Materials, Ljubljana. The conference is organised this year jointly with the 29 Symposium on Devices and Materials, SD93, another annual meeting of the same Society. Both conferences are well known through the distinguished guest speakers. Several hundred scientists from all over the world took parts on the MIEL conferences in the past. The goal of connection and building of friendship between the scientists and their companies remains the keystone of the organiser. The conference will be held in Bled, Slovenia, a mundane turistic village, well known also as conference centre, SEPTEMBER 29th - OCTOBER 1st ORIGINAL PAPERS IN THE FOLLOWING AREAS ARE SOLICITED: □ Novel monolithic and hybrid circuit processing techniques □ New devices and circuit design □ Process and device modelling □ Semiconductor physics □ New electronic materials and applications □ Electronic material science and technology □ Optoelectronics □ Reliability and failure analysis □ Microelectronics education □ Marketing and commercial presentation for material and equipment analysis will be accepted in a special conference section INVITED PAPERS: The following speakers will present introductory review papers before sessions: 1. B. Murari, SGS Thomson microelectronics: "BiCMOS technology and devices" 2. I. R. Harris, University of Birmingham, School of metallurgy and materials, Edgbaston, Birmingham: "Rare earth - Transition magnets: Their processing, properties and applications" 3. H. Salemink, IBM Res. division Zürich: "Tunnel microscopy in semiconductor characterisation". 4. J. Furlan, Fakulteta za elektrotehniko in računalništvo, Univerza v Ljubljani, Ljubljana, Slovenia W. Kusian, Siemens AG, Corporate research and development, München, Germany G. Konte, ENEA, Centra ricerche fotovoltaiche, Portica -Napoli, Italia: "Tandem amorphous silicon solar cells". 5. J. W. Cicognani, E. Gramegna, Du pont de nemours int. SA, Sisse N. Eilbeck, Du pont, UK: "An overview of recent developments in ceramic and polyimids technologies for multichip modules". PREPARATION OF SUMMARY AND ABSTRACTS A summary not longer then 60 lines is required for review. This summary must state clearly what new results have been obtained and what techniques have been used. RECEIPT DEADLINE: Deadline for receiving the summaries is May 15th. PREPARATION OF THE PAPERS: The papers have to be prepared on maximum 6 pages A4 format, ready for reproduction in the Proceedings. Other information will be given to the authors of accepted papers by June 1st. RECEIPT DEADLINE: Deadline for the manuscript of the paper is September 1st. CONFERENCE PROCEEDINGS Invited papers and accepted papers will be published in the conference proceedings distributed at the conference registration. LANGUAGE Official conference language is English. REGISTRATION The registration fee is USD 300. Members of MIDEM sponsors have a 20% discount, society members 30%. The fee includes free access to all conference events, including welcome coctail party and the conference dinner on September 30th. IMPORTANT DATES Summary deadline: May 15th Notification of acceptance: June 1st Advanced programme: August 1st Paper deadline: September 1s" Final conference programme: at registration, September 29!h Programme and organising committee: MIDEM Dunajska 10 61000 LJUBLJANA (Slovenia) tel.: (61)312898, fax.: (61)319170 Secretary of the conference: Meta Limpel tel.: (61)551609 77 Informacije MIDEM 23(1993)2, Ljubljana KOLEDAR PRIREDITEV 1993 MARCH 24.03.-31.03.1993 CEBIT'93 HANNOVER,Germany 30.03.-01.04.1993 SEMICON SHOW ON SEMICONDUCTOR TECHNOLOGY GENEVA,Switzerland APRIL 14.04.-16.06.1993 INTERNATIONAL CONFERENCE AND EXIBITION ON MULTICHIP MODULES DENVER, Colorado, USA (ISHM tel. +1 703 471 0066) 19.04.-21.04.1993 COUMPOUND SEMICONDUCTOR DEVICE MODELLING HARROGATE, UK (info. B. Miles +44 532332081) 19.04.-24.04.1993 EUROPEAN TEST CONFERENCE ROTTERDAM, Holand (info. A. Kunz +49 89 930 8201) 21.04.1993 PRVI SUSRET VAKUMISTA HRVATSKE I SLOVENIJE ZAGREB, Hrvatska 22.04.-23.04.1993 GALLIUM ARSENIDE DEVICE SIMULATION GROUP MEETING HARROGATE, UK (info. B. Miles +44 532 332081) 22.04.-28.04.1993 INTERNATIONAL INDUSTRIAL EXIBITION HANNOVER,Germany 26.04.-28.04.1993 38th ANNUAL GATHERING KOREMA ZAGREB, Hrvatska (041-611944) MAY 17.05.-22.05.1993 TELECOM ASIA SINGAPUR.Singapur 24.05.-28.05.1 993 MIPRO 93 RIJEKA,Croatia JUNE 02.06.-04.06.1993 TECHNOVA INTERNATIONAL GRAZ,Austria 15.06.-19.06.1993 BIOWASTE HERNING.Danmark SEPTEMBER 05.09.-09.09.1993 IMEKO XIII FROM MEASUREMENT TO INNOVATION TORINO, Italy 06.09.-09.09.1993 EuMC'93 EUROPEAN MICROWAVE CONFERENCE MADRID, Spain 06.09.-10.09.1993 VLSI 93 GRENOBLE, France 13.09.-17.09.1993 EPE'93 5th EUROPEAN CONFERENCE ON POWER ELECTRONICS AND APLICATIONS BRIGHTON, England 29.09.-01.10.1993 MIEL-SD 93 21 th INTERNATIONAL CONFERENCE ON MICROELECTRONICS BLED, Slovenia OCTOBER 05.10.-09.10.1993 SODOBNA ELEKTRONIKA 93 LJUBLJANA,Slovenia 07.10.-08.10.1993 ISEP 93 INTERNATIONAL SYMPOSIUM ON ELECTRONICS IN TRAFFIC LJUBLJANA, Slovenia 07.10.-08.10.1993 VAES 93 INTERNATIOANL SYMPOSIUM ON CONTROL AND AUTOMATION OF ELECTROENERGETIC SYSTEMS 19.10.-23.10.1993 SITEF, TOULOUSE, France 78 Informacije MIDEM 23(1993)2, Ljubljana NAVODILA AVTORJEM Informacije MIDEMjeznanstveno-strokovno-dru-štvena publikacija Strokovnega društva za mi-kroelektroniko, elektronske sestavne dele in ma-teriale-MIDEM. Časopis objavlja prispevke domačih in tujih avtorjev, še posebej članov MIDEM, s področja mikroelektronike, elektronskih sestavnih delov in materialov, ki so lahko: izvirni znanstveni članki, predhodna sporočila, pregledni članki, razprave z znanstvenih in strokovnih posvetovanj in strokovni članki. Članki bodo recenzirani. Časopis objavlja tudi novice iz stroke, vesti iz delovnih organizacij, inštitutov in fakultet, obvestila o akcijah društva MIDEM in njegovih članov ter druge relevantne prispevke. Strokovni prispevki morajo biti pripravljeni na naslednji način 1. Naslov dela, imena in priimki avtorjev brez titul. 2. Ključne besede in povzetek (največ 250 besed). 3. Naslov dela v angleščini. 4. Ključne besede v angleščini (Key words) in podaljšani povzetek (Extended Abstract) v angleščini. 5. Uvod, glavni del, zaključek, zahvale, dodatki in literatura. 6. Imena in priimki avtorjev, titule in naslovi delovnih organizacij, v katerih so zaposleni. Ostala splošna navodila 1. V članku je potrebno uporabljati SI sistem enot oz. v oklepaju navesti alternativne enote. 2. Risbe je potrebno izdelati s tušem na pavs ali belem papirju. Širina risb naj bo do 7.5 oz. 15 cm. Vsaka risba, tabela ali fotografija naj ima številko in podnapis, ki označuje njeno vsebino. Risb, tabel in fotografij ni potrebno lepiti med tekst, ampak jih je potrebno ločeno priložiti članku. V tekstu je potrebno označiti mesto, kjer jih je potrebno vstaviti. 3. Delo je lahko napisano in bo objavljeno v kateremkoli jugoslovanskem jeziku v latinici in v angleščini. Uredniški odbor ne bo sprejel strokovnih člankov, ki ne bodo poslani v dveh izvodih. Avtorji, ki pripravljajo besedilo v urejevalnikih besedil, lahko pošljejo zapis datoteke na disketi (360 ali 1,2) v formatih ASCII, Wordstar (3.4,4.0), word-perfect, word, ker bo besedilo oblikovano v programu Ventura 2.0. Grafične datoteke so lahko v formatu HPL, SLD (AutoCAD), PCX ali IMG/GEM. Avtorji so v celoti odgovorni za vsebino objavljenega sestavka. Rokopisov ne vračamo. Rokopise pošljite na naslov Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 61000 Ljubljana UPUTE AUTORIMA Informacije MIDEM je znanstveno-stručno-druš-tvena publikacija Stručnog društva za mikroelek-troniku, elektronske sestavne dijelove i materijale - MIDEM. Časopis objavljuje priloge domačih i stranih autora, naročita članova MIDEM, s podru-čja mikroelektronike, elektronskih sastavnih dije-lova in materijala koji mogu biti: izvorni znanstveni članci, predhodna priopčenja, pregledni članci, ¡zlaganja sa znanstvenih i stručnih skupova i stručni članci. Članci če biti recenzirani. Časopis takoder objavljuje novosti iz struke, oba-vijesti iz radnih organizacija, instituta i fakulteta, obavijesti o akcijama društva MIDEM i njegovih članova i druge relevantne obavijesti. Stručni članci moraju biti pripremljeni kako slijedi 1. Naslov članka, imena i prezimena autora bez titula. 2. Ključne riječi i sažetak (najviše 250 riječi). 3. Naslov članka na engleskom jeziku. 4. Ključne riječi na engleskom jeziku (3Key VVords) i produženi sažetak (Ex-tended Abstract) na engleskom jeziku. 5. Uvod, glavni dio, zaključni dio, zahvale, dodaci i literatura. 6. Imena i prezimena autora, titule i naslovi institucija u kojima su zaposleni. Ostale opšte upute 1. U prilogu treba upotrebljavati SI sistem jedinica od. u zagradi navesti alternativne jedinice. 2. Crteže treba izraditi tušem na pausu ili bijelom papiru. Širina crteža neka bude do 7.5 odnosno 15 cm. Svaki crtež, tablica ili fotografija treba ¡mati broj i naziv koji označuje njen sadržaj. Crteže, tabele i fotografije nije potrebno lijepiti u tekst, več ih priložiti odvojeno, a u tekstu samo naznačiti mjesto gdje dolaze. 3. Rad može biti pisan i biti če objavljen na bilo kojem od jugoslavenskih jezika u latinici i na engleskom jeziku. Autori mogu poslati radove na disketama (360 ili 1,2) u formatima tekst procesora ASCII, Wordstar (3.4. i 4.0), word, Wordperfect pošto če biti tekst dalje obraden u Venturi 2.0. Grafičke datoteke mogu biti u formatu HPL, SLD (AutoCAD), PCX ili IMG/GEM. Urednički odbor če odbiti sve radove koji neče biti poslani u dva primjerka. Za sadržaj članaka autori odgovaraju u potpu-nosti. Rukopisi se na vračaju. Rukopise šaljite na adresu: Uredništvo Informacije MIDEM Elektrotehnična zveza Slovenije Dunajska 10, 61000 Ljubljana Slovenija INFORMATION FOR CONTRIBUTORS Informacije MIDEM is professional-scientific-so-cial publication of Professional Society for Microelectronics, Electronic Components and Materials. In the Journal contributions of domestic and foreign authors, especially members of MIDEM, are published covering field of microelectronics, electronic components and materials. These contributions may be: original scientific papers, preliminary communications, reviews, conference papers and professional papers. All manuscripts are subject to reviews. Scientific news, news from the companies, institutes and universities, reports on actions of MIDEM Society and its members as well as other relevant contributions are also welcome. Each contribution should include the following specific components: 1. Title of the paper and authors' names. 2. Key Words and Abstract (not more than 250 words). 3. Introduction, main text, conclusion, acknowledgements, appendix and references. 4. Authors' names, titles and complete company or institution adress. General information 1. Authors should use SI units and provide alternative units in parentheses wherever necessary. 2. Illustrations should be in black on white or tracing paper. Their width should be up to 7.5 or 15 cm. Each illustration, table or photograph should be numbered and with legend added. Illustrations, tables and photografphs are not to be placed into the text but added separately. Hower, their position in the text should be clearly marked. 3. Contributions may be written and will be published in any Yugoslav language and in english. Authors may send their files on formatted diskettes (360 or 1,2) in ASCII, Wordstar (3.4 or 4.0), word, Wordperfect as text will be formated in Ventura 2.0. Graphics may be in HPL, SLD (AutoCAD), PVX or IMG/GEM formats. Papers will not be accepted unless two copies are received. Authors are fully responsible for the content of the paper. Manuscripts are not returned. Contributions are to be sent to the address: Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 61000 Ljubljana, Slovenia 79 TERMINOLOŠKI STANDARDI 1 2 '3 4 > Opomba: Selenske plošče: osnovni element v selenskem omejevalnilcu prenapetosti je sestavljen iz dveh kovinskih elektrod (iz osnovne plošče in nasproti leteče elektrode), med katerima je večkristalni polprevodniki selenski sioj. Obstajata dve vrsti omejevalnikov: a) polarizirani omejevalnik: selenski omejevalnik prenapetosti z nesimetrično tokovno-napetostno karakteristiko; b) nepolarizirani omejevalnik: selenski omejevalnik prenapetosti s simetrično tokovno-napetostno karakteristiko. 2.3 Splošni izrazi - 2.3.1 • PrikJjučak (poluprovodničkc komponente) • Pri ključ a k (poluprovodničke komponente) • [IpnK/!yioK (na nonynpoDonnHWKH e/ieMCHT) • Priključek (polprcvodniškega elementa) 147-0/0-3.1 • Terminal (of a semiconductor device) • Borne (d'un dispositif a semiconduc- teurs) Od zunaj pristopno mesto za priključevanje. ¿3.2 • Elektroda (poluprovodničke komponente) « Elckuoda (poluvodičke komponente) • Eneicrpona (na nonynpoBoaHHWKH eneMent) • Elektroda (polprevodniškega elementa) 147-0/0-3.2 • Electrode (of a semiconductor device) • Electrode (d'un dispositif à semiconduc- teurs) Del, ki zagotavlja električno zvezo med določenim področjem polprevodniškega elementa in njegovim priključkom. 13.3 • Direktni smer (PN-spoja) • Propusni (direktni) smjer PN—spoja • XImpeKTiia nacoKa (na PN—enoj) • Prepustna smer (PN-spoja) 147-0/0-3.3 • Forward direction (of a PN junction) • Sens direct (d'une jonction PN) Smer enosmernega toka, v kateri ima PN-spoj. najmanjšo upornost. TERMINOLOŠKI STANDARDI 1 2 3 ■ 4 2.3.4 ® Inverzni smer (PN-spoja) • Zaporni (inverzni) smjcr PN—spoja « MHBep3ua nacoKa (na PN-cnoj) « Zaporna smer (PN—spoja), inverzna smer (PN-spoja) 147-0/0-3.4 • Reverse direction (of a PN junction) • Sens inverse (d'une jonction I'N) Smer enosmernega toka, v kateri ima PN-spoj največjo upornost. Opomba: Ta definicija ne velja za elemente, zasnovane ha tunelskem pojavu, 2.4 Izrazi za mejne vrednosti in karakteristike 14.1 • Inverzni napon • Inverzni napon ® Hnbcp3cii uanou • Zaporna napetost, inverzna napetost 147-0/0-4.1 » Reverse voltage • Tension inverse Napetost na PN-spoju ali diodi, polarizirani v smeri največje upornosti. Opomba: Ta definicija ne velja za tunelske diode. 2.4.2 • Lebdeči napon ® Plivajuči napon • JleSaeuKii »anoH • Drsna napetost 147-0/0-4.2 • Floating voltage • Tension flottante Napetost med odprtim priključkom in referenčno točko, kadar je priključena označena napetost na katerega koli od ostalih priključkov. 2.4.3 • Probojni napon ® Probojni napon • ripoGnacu HanoK « Probojna napetost 147-0/0-4.3 • Breakdown volt3ge • Tension de claquage Inverzna napetost, pri kateri postane inverzni tok večji od določene vrednosti. 24.4 • Toplotna otpornost (poluprovodničke komponente) • Toplinski otpor (poluvodičke komponente) • Toiiji)ihck3 omopnocT (na nonynpoboamrmkh eneMCHT) • Toplotna upornost (polprevodniškega elemen- ta) 147—OC/O—4.4 • Thermal resistance (of a semiconductor device) • Resistance thermique (d'un dispositif à semiconducteurs) Razmerje razlike med i virtualno temperaturo elementa in temperaturo označene zunanje referenčne točke proti izgubni moči v stacionarnem režimu polprevodniSkega elementa. Opomba: 1. Toplotna upornost se navadno podaja v °C/W. Opomba: 2. Razume se, da teče skupni toplotni pretok, ki ga povzroča izgubna moč, skozi to toplotno upornost. TERMINOLOŠKI STANDARDI 1 2 3 4 14.5 • Toplotna kapacitivnost (poluprovodničke komponente) ® Toplinska kapacitivnost (poluvodičke komponente) • TonnuHCKa KanauiiTHBiiocT (na nonvnpono,"-iijihkh cjicmcht) ® Toplotna kapacitcta (polprevodnik kega elementa) 147-0C/0—4.5 • Thermal capacitance (of a semiconductor device) • Capacité thermique (d'un dispositif à semiconducteurs) Veličina, ki določa sposobnost vskladiščenja toplote. 2.4.6 « Ekvivalentna toplotnašema • IJadomjcsna toplinska shema • Ekdi!nartcHTira Ton.unicKa lucma • Ekvivalentno toplotno vezje 147-0C/0-4.6 • Equivalent thermal network • Réseau thermiaue équivalent Vezje, sestavljeno iz toplotnih upornosti, toplotnih kapacitet in virov toplotnega pretoka, ki prikazuje toplotne pogoje in temperaturno obnašanje polprevodniSkega elementa (ali integralnega vezja) pri električni obremenitvi in ki se lahko uporablja za temperaturne izračune z analognimi električnimi vezji. Opomba: 1. Razume se, da teče skupni topiotni pretok, ki ga povzroča izgubna moč, skozi to vezje. Opomba: 2. Če je le mogoče, se uporablja v ustreznem toplotnem vezju samo en vir toplotnega pretoka. V tem primeru ustreza toplotni pretok skupni izgubni moč v polprevodniškem elementu (ali integriranem vezju). 2.4.7 • Ekvivalentna toplotna kapacitivnost • Nadomjesna toplinska kapacitivnost; ® EKBHBneim CO 1 2 3 4 2.-i.S • Ekvivalentna toplotna otpornost • Nadomjesni toplinski otpor • EKBiiBancunia TonmmcKa oinopirocT • Ekvivalentna toplotna upornost 147-0C/0-4.8 • Equivalent thermal network resistance • Résistance thermique d'un réseau thermique équivalent Element v ekvivalentnem toplotnem vezju, ki ponazarja upornost toplotnega pretoka. Opomba: Toplotna upornost se navadno podaja v°C/W. 2.4.9 • Prclazna toplotna impedansaza konstan-tnu struju ' • Prijelazna toplinska impedancija • ilpcoa.ua TonnmicKa HMneflanca • Prehodna toplotna impedanca 147-OC/0-4.9 • Transient thermal impedance • Impédance thermique transitoire Razmeije spremembe temperaturne razlike na koncu določenega časovnega intervala med virtualno temperaturo in temperaturo označene zunanje referenčne točke proti nagli spre- ' membi izgubne moči v začetku istega časovnega intervala, ki je povzročil spremembo temperature. Opomba: 1. Neposredno pred začetkom tega temperaturnega intervala mora biti temperaturna razdelitev v elementu časovno nespremenljiva. Opomba: 2. Prehodna toplotna impedanca je podana kot funkcija časovnega intervala. 2.4.10 . • Prclazna toplotna impedansa za impulsnu struju • Prijelazna toplinska impedancija za impulsnu struju • TonramcKa HMneaajica 3a HMnyncna crpyja • Toplotna impcdanca pri impulznem obratovanju 147-0C/0-4.10 • Thermal impedance under pulse conditions • Impédance thermique en régime d'impulsions Razmerje razlike med največjo virtualno temperaturo, ki jo povzroča impulzna moč, in temperaturo označene zunanje referenčne točke, proti amplitudi izgubne moči na elementu, kije povzroča periodično zaporedje pravokotnih impulzov. Opomba: 1. Začetni prehodni pojavi se ne upoštevajo in se vzame, daje stalna izgubna moč enaka nič. Opomba: 2. Toplotna impcdanca je pri impulznem obratovanju podana kot funkcija trajanja impulza z razmerjem impulz/pavza kot parametrom. TERMINOLOŠKI STANDARDI Temperature 2.5 1 3 4 15.1 • Temperatura kučišta • Temperatura kučišta • TessiepaTypa na KvKMiirreTO • Temperatura okrova 147-0/0-5.1 • Case temperature • Temperature du boîtier Temperatura, izmerjena v določeni točki okrova polprevodniškega elementa. 2.5.2 • Temperatura skiadištenja • Temperatura uskjadištenja • TeMncp3Typana cicnoanpaibe • Temperatura skladiščenja 147-0/0-5.2 • Storage temperature • Temperature de stockage Temperatura, pri kateri se elementi uskladiščijo, ne da bi bili priključeni na napetost. 15.3 • Toplotni faktor smanjenja granične snage disipaeije • Topli nski faktor smanjenja graničnOi gubi-taka • Ton;;i;;icK>i ¿aicrop na CMajr/Baibe Ha rpa-)u!miia73 mokhoct na anomaunja • Temperaturni razbremenitveni faktor 147-0/0-5.3 • Thermal derating factor • Facteur de reduction avec la température Faktor, za katerega je treba zmanjšati mejno izgubno moč pri zvišani temperaturi okolja ali ekrova. 15.4 • Virtuelna temperatura, unutrašnjaekvivalentna temperatura • Virtualna temperatura, unutrašnja nado-mjesna temperatura • BnpTyen)ia TCMncpaTypa, BHarpeniHa ckbh-Binennia TeNcrepaTypa • Virtualna temperatura 147—0C/0—5.4 • Virtual temperature, interna! equivalent temperature • Température virtuelle, temperature equivalent« interne Teoretična temperatura, ki temelji na poenostavljenem prikazu toplotnega in električnega obnašanja polprevodniškega, elemen-ta. Opomba: 1. Za spojne polprevodnike elemente se sme uporabljati izraz „virtualna (ekvivalentna) temperatura spoja". Opomba: 2. Ni nujno, da bi bila virtualna temperatura tudi najvišja temperatura v notranjosti elementa. 3 o" 3 so o. O m IV) í¿ 10 (£> CO C CT 0 3 03 00