UDK 621.3:(53+54+621 +66)(05)(497.1 )=00 ISSN 0352-9045 Strokovno društvo za mikroelektroniko elektronske sestavne dele in materiale Strokovna revija za mikroelektroniko, elektronske sestavne dele in materiale Journal of Microelectronics, Electronic Components and Materials INFORMACIJE MIDEM, LETNIK 30, ŠT. 1(93), LJUBLJANA, marec 2000 UDK 621,3:(53+54+621 +66)(05)(497.1 )=00 ISSN 0352-9045 INFORMACIJE 1 °2000 INFORMACIJE MIDEM LETNIK 30, ŠT. 1(93), LJUBLJANA, MAREC 2000 INFORMACIJE MIDEM VOLUME 30, NO, 1(93), LJUBLJANA, MARCH 2000 Revija izhaja trimesečno (marec, junij, september, december). Izdaja strokovno društvo za mikroelektroniko, elektronske sestavne dele in materiale • MIDEM. Published quarterly (march, june, september, december) by Society for Microelectronics, Electronic Components and Materials - MIDEM. Glavni In odgovorni urednik Editor in Chief Dr. Iztok Šorli, dipl.ing., MIKROIKS d.o.o., Ljubljana Tehnični urednik Executive Editor Uredniški odbor Editorial Board Časopisni svet International Advisory Board Naslov uredništva Headquarters Dr. Iztok Šorli, dipl,ing. Doc. dr. Rudi Babič, dipl.ing., Fakulteta za elektrotehniko, računalništvo in informatiko Maribor Dr.Rudi Ročak, dipl.ing., MIKROIKS d.o.o., Ljubljana mag.Milan Slokan, dipl.ing., MIDEM, Ljubljana Zlatko Bele, dipl.ing., MIKROIKS d.o.o., Ljubljana Dr. Wolfgang Pribyl, Austria Mikro Systeme International AG, Graz mag. Meta Limpel, dipl.ing., MIDEM, Ljubljana Miloš Kogovšek, dipl.ing., Ljubljana Prof. Dr. Marija Kosec, dipl. ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Slavko Amon, dipl.ing., Fakulteta za elektrotehniko, Ljubljana, PREDSEDNIK - PRESIDENT Prof. dr. Cor Claeys, IMEC, Leuven Dr. Jean-Marie Haussonne, EIC-LUSAC, Octeville Dr. Marko Hrovat, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Zvonko Fazarinc, dipl.ing., CIS, Stanford University, Stanford Prof. dr. Drago Kolar, dipl.ing., Inštitut Jožef Stefan, Ljubljana Dr. Giorgio Randone, ITALTEL S.I.T. spa, Milano Prof. dr. Stane Pejovnik, dipl.ing., Kemijski inštitut, Ljubljana Dr. Giovanni Soncini, University of Trento, Trento Prof.dr. Janez Trontelj, dipl.ing., Fakulteta za elektrotehniko, Ljubljana Dr. Anton Zalar, dipl.ing., IT PO, Ljubljana Dr. Peter Weissglas, Swedish Institute of Microelectronics, Stockholm Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 1000 Ljubljana, Slovenija tel.: +386 (0)61 1512 221 fax: +386 (0)61 1512 217 Iztok.Sorli@guest.arnes.si http://paris.fe.uni-lj.si/midem/journal.htm Letna naročnina znaša 12,000,00 SIT, cena posamezne številke je 3000,00 SIT. Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Annual subscription rate Is DEM 200, separate issue is DEM 50. MIDEM members and Society sponsors receive Informacije MIDEM for free. Znanstveni svet za tehnične vede I je podal pozitivno mnenje o reviji kot znanstveno strokovni reviji za mikroelektroniko, elektronske sestavne dele in materiale. Izdajo revije sofinanci rajo Ministrstvo za znanost in tehnologijo in sponzorji društva. Scientific Council for Technical Sciences of Slovene Ministry of Science and Technology has recognized Informacije MIDEM as scientific Journal for microelectronics, electronic components and materials. Publishing of the Journal is financed by Slovene Ministry of Science and Technology and by Society sponsors. Znanstveno strokovne prispevke objavljene v Informacijah MIDEM zajemamo v podatkovne baze COBISS in INSPEC. Prispevke iz revije zajema ISI ® v naslednje svoje produkte: Sei Search® , Research Alert® in Materials Science Citation Index™ Scientific and professional papers published in Informacije MIDEM are assessed into COBISS and INSPEC databases. The Journal is indexed by ISI® for Sei Search®, Research Alert® and Material Science Citation Index™ Po mnenju Ministrstva za informiranje št.23/300-92 šteje glasilo Informacije MIDEM med proizvode informativnega značaja, za katere se plačuje davek od prometa proizvodov po stopnji 5 %. Grafična priprava in tisk BIRO M, Ljubljana Printed by Naklada 1000 izvodov Circulation 1000 issues Poštnina plačana pri pošti 1102 Ljubljana Slovenia Taxe Perçue UDK621,3:(53 + 54 + 621 +66), ISSN0352-9045 Informacije MIDEM 30(2000)1 .Ljubljana Uvodnik: Revija "Informacije MIDEM" je dobila JCR factor Editorial: Journal "Informacije MIDEM" gets JCR Impact Factor ZNANSTVENO STROKOVNI PRISPEVKI K.W. Smith: Polprevodniški detektorji s slikovnimi elementi PROFESSIONAL SCIENTIFIC PAPERS 1 K.W. Smith: Semiconductor Pixel Detectors G. Pasciak, W. Mielcarek, K. Prociow: Vpliv dodatka Sb203 na električne parametre in stabilnost ZnO varistorjev D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Direktno bondiranje silicijevih ploščic orientacije (100) in (111) J. Krč, M. Topič, F. Smole, U.O. Krašovec, U.L. Štangar, B. Orel: Analogni regulator za elektrokromna stekla 1. Šalamon, T. Dogša: Nevarnost kaosa v digitalnem situ drugega reda PRVO OBVESTILO - KONFERENCA MIDEM 2000 PREDSTAVLJAMO PODJETJE Z NASLOVNICE RLS PRIKAZI MAGISTRSKIH DEL IN DOKTORATOV, leto 1999 V SPOMIN Prof. dr. Drago Kolar 16 G. Pasciak, W. Mielcarek, K. Prociow: Influence of Sb2Ü3 Addition on Electric Parameters and Stability of ZnO Varistors 20 D. Resnik, D. Vrtacnik, U. Aljancic, S. Amon: Direct Bonding of (111) and (100) Oriented Silicon Wafers 32 J. Krč, M. Topič, F. Smole, U.O. Krašovec, U.L. Štangar, B, Orel: Analog Regulator for Electrochromic Windows 37 M. Šalamon, T. Dogša: Danger of Chaos in a Second-order Digital Filter 43 ANNOUNCEMENT AND CALL FOR PAPERS MIDEM 2000 CONFERENCE 49 REPRESENT OF THE COMPANY FROM FRONT PAGE R L S 50 M.S. and Ph.D. ABSTRACTS, year 1999 62 IN MEMORIAM Prof. Dr. Drago Kolar KOLEDAR PRIREDITEV 64 CALENDAR OF EVENTS MIDEM prijavnica 65 MIDEM Registration Form Journal "Informacije MIDEM" gets JCR Impact Factor I remember that back in 1993 first idea about getting a scientific impact factor for our Journal arose among members of the Editorial Board. The same year I contacted ISI (Institution for Scientific Information, Philadelphia, USA) asking them to consider our publication for inclusion into their services. Kindly enough, as a first step, they agreed to have us on "probation". This meant that for the next year, every new issue had to be sent to them for review process. Finally, one and a half year later, a positive answer arrived from ISI. They decided to index our Journal for Sci Search®, Research Alert® and Materials Science Citation Index™. So from 1994 on, for five consecutive years we have been sending every issue of our Journal regularly to ISI for indexing. This, of course does not mean that the Journal automatically received its SCI. We had to wait patiently all these years, hoping forthe best. Finally and fortunately, since ISI regularly reevaluates Journals for SCI, end of 1999, we learned that our Journal "Informacije MIDEM", received JCR IF(1998):0.088;SE. Science Edition, for year 1998. Additionally it has x:0.549(174/208), engineering, electrical&electronic, meaning that it is positioned on 174th place out of 208 journals dealing with engineering, electrical&electronic subjects which have average IF 0.549. As well, it has x:0.698(129/143), material science, meaning that it is positioned on 129f/7 place out of 143 journals dealing with material science subject which have average IF 0.698. At this occasion I would like to congratulate and thank to Editorial Board, International Advisory Board and to all authors who contribute to the Journal, who with their work and moral support made this success possible. Editor in Chief Iztok Sorli Materials Science Citation Index™ covers more than 1.700 leading publications and provides over 109.000 source items per year on topics such as adhesives, biomaterials, ceramics, coating technology, composite materials, fabrics&fibers, metals&metallurgy, methods of extraction, minerals, paper&wood sciences, plastics&polymer, engineering, powders, processing and manufacturing, semiconductors, superconductors, surface science, thin films. Research Alert® is the premiere Selective Dissemination of Information (SDI) service available today backed by ISI's vast multidisciplinary database, providing current, complete and comprehensive bibliographic information. Research Alert searches over 7.000 leading international science, social sciences, and arts and humanities journals, item by item, listing complete bibliographic data on every journal article that's relevant to your subject area. JCR®, Journal Citation Reports provides a systematic and objective means of determining the relative importance of science and social sciences journals within their subject categories. Informacije MIDEM 29(1999)4, Ljubljana ' SEMICONDUCTOR PIXEL DETECTORS K.M.Smith Department of Physics and Astronomy, University of Glasgow, UK INVITED PAPER MIDEM'99 CONFERENCE 13.10.99 - 15.10.99, Ljubljana, Slovenia Keywords: semiconductors, pixel detectors, Imagers, computer graphics, nondestructive testing, state of development, HEP, High Energy Physics, CCD, Charge Coupled Devices, APS. Active Pixel Sensors, ROIC, Read Out Integrated Circuits, LHC, Large Hadron Colliders, medicine, particle physics Abstract: Semiconductor pixel detectors were originally developed for particle physics experiments as a logical development from silicon microstrip detectors, offering the potential for high spatial precision, two dimensional location of Ionising charged particle trajectories. The development became practical, as with microstrip detectors, only with the availability of suitable VLSI read-out electronics and reliable (anc! affordable) Interconnect technology, ("flip-chip" bonding). Pixel detectors have also been studied more recently as Imaging devices, particularly for X-rays In medical and non-destructive testing applications, and in synchrotron radiation beams. In the following, a description is given of the evolution and current state of development of pixel detectors for all of these applications. Reference is made to both monolithic and hybrid semiconductor pixel detectors, considering not only silicon, (crystalline and amorphous), but also alternative semiconductor materials. The performance and limitations of current read-out electronics and bonding technology for hybrid detectors are discussed, together with the relative merits of charge integrating versus photon counting read-out for X-ray imaging applications. The paper concludes with an outline of potentially valuable future development possibilities. Polprevodniški detektorji s slikovnimi elementi Ključne besede: polprevodniki, detektorji pikselski, upodabljalniki, grafika računalniška, preskušanje nedestruktivno, stanje razvoja, HEP fizika energij visokih, CCD naprave z nabojem sklopljenim. APS senzorji aktivni pikselski, ROIC vezja integrirana bralna, LHC trkalniki hadronski veliki, medicina, fizika delcev Izvleček: Polprevodniški detektorji s slikovnimi elementi so bili sprva razviti za potrebe eksperimentov fizike delcev kot logični razvoj iz mikropasovnih detektorjev, saj so ponujali visoko prostorsko ločljivost in možnost dvodimenzionalnega določanja poti ionizirajočih nabitih delcev. Toda razvoj je imel praktične rezultate šele, podobno kot v primeru mikropasovnih detektorjev, z izvedbo ustrezne VLSI čitalne elektronike ¡n zanesljive (in dovolj poceni) povezovalne tehnologije ("flip-chip" bondiranje). Detektorje s slikovnimi elementi so zadnje čase precej študirali kot možne detektorje slike, zlasti za rentgenske žarke za uporabo v medicini m za nedestruktivno testiranje, oz. v sinhrotronskih žarkovnih linijah. V prispevku podajam opis nastanka in trenutnega stanja razvoja detektorjev s slikovnimi elementi za vse navedene rabe. Omenjam tako monolitne kot hibridne izvedbe detektorjev ne samo na siliciju (kristalnem in amorfnem) ampak tudi na alternativnih polprevodnikih materialih. Obravnavam delovanje in omejitve sodobne čitalne elektronike in tehnologije bondiranja hibridnih detektorjev ter primerjam relativne prednosti odčitka integriranega naboja proti direktnem štetju fotonov pri slikanju z rentgenskimi žarki. Prispevek zaključim s pregledom razvojnih možnosti v bodočnosti. Introduction Semiconductor pixel detectors were initially developed for high energy physics applications because of their low noise, high spatial resolution and two dimensional position information /1-10/. Their potential for X-ray imaging applications was soon realised, because of their higher energy resolution, high density, good spatial resolution, speed of response and increased counting rate capabilities /11-14/. Pixel detectors may be broadly categorised into two broad varieties: Charge Coupled Devices (CCDs) and Active Pixel Sensors (APS), the latter being sub-divided into monolithic devices /7-9/ and hybrid detectors /2/. Early attempts to fabricate monolithic detectors were faced with problems due to the many stages of processing required, (typically over a dozen), potentially conflicting requirements for optimising the detector and the read-out components of the detector and associated problems of low yield of useful devices. More recently, large area (-25 x35 mm2) silicon CMOS ROIC have been developed for imaging applications /13/, and offer considerable promise as relatively low cost detectors, especially when coupled to scintillator layers to enhance their X-ray absorption efficiency. A practical upper limit on the area of these devices is set by the size of the reticle used in the silicon foundries which produce the chips. In the case of hybrid APS, the detector and read-out integrated circuit (ROIC) are fabricated on separate wafers and connected through "flip-chip" bump-bonding, using approximately spherical bonds of gold /14/, indium /15/ or solder /4/. This technology allows the separate optimisation of detector and ROIC and also provides greater flexibility in the choice of active medium for the former. With the trend towards ever smaller feature sizes in silicon processing technology, it becomes possible either to incorporate more sophisticated processing features into each pixel of the read-out chip, (making "smart" chips), or alternatively to compress the read-out area per pixel, giving higher spatial resolution capability /16,17/. A more detailed, (but not comprehensive), description is given below of the continuing evolution of pixel detector readout circuits within the microelectronics groups at the 1 Informacije MIDEM 30(2000)1, str. 1-15 K.M. Smith: Semiconductor Pixel Detectors CERN laboratory, (as part of the RD19 detector R&D collaboration /18/), the Rutherford-Appleton laboratory (as part of the UK Technology Foresight project "IMPACT" /14/) and elsewhere /13,26/. In parallel with developments in read-out circuits, active investigations continue into alternatives to crystalline silicon as the detector medium. Large area, (~A4 page size) imaging panels based on amorphous silicon are now available commercially, for example /19,20/. A brief review is given below of the status of this technology and of GaAs, diamond, Cd(Zn)Te and other, more unconventional detector materials. The LHC Pixel Detectors, (ALICE, ATLAS, CMS and LHCb) Pixel detectors are destined to play a crucial role In the experiments now under construction for physics using the Large Hadron Collider at the CERN laboratory/26/. They should provide very high precision location, (-10 pm), of charged particle tracks emerging from the collision of the intersecting proton beams, and help to select potentially interesting, rare events among the large backgrounds through the identification of secondary vertices from the radioactive decay of short-lived, (particularly charm or beauty) particles. They have to operate in the most hostile radiation environment of any of the detector components, and are expected to be subjected to (1 MeV neutron equivalent) fluences of, typically, 1 -2x1015n/cm2 during ten years of LHC operation. In the case of the ATLAS pixel detector, for example, each sensor is a 16x60 mm2 silicon wafer covered by an array of 50x400 pm2 pixels, bump-bonded to an assembly of 16 front-end chips, each of 18x160 cells arranged in 9 double columns. Every read-out cell contains a preamplifier and discriminator, facilities for storing leading and trailing edge time stamp information and control circuitry for selection and masking. The 2228 modules in the overall pixel detector, (barrel plus end-cap disk sections), then correspond to 140 million channels in a cylinder 1.6 m long, 0.5 m in diameter, as shown in Figure 1. Extensive R&D activity has been directed to improving the high voltage stability of the sensors, particularly against micro-discharge effects, e.g. through careful design of guard ring structures/59/. Other obvious practical problems with such an array include the provision of suitably stable mechanical support structures, radiation damage effects in sensors and read-out electronics and the power consumption in the read-out electronics. This amounts to more than 14 kW in the detector volume, in the ATLAS case, and demands careful attention to cooling of the assembly. Improving the radiation hardness of silicon detectors In spite of significant advances in the understanding of how radiation-induced defects can influence the performance of silicon pixel detectors, there is still considerable scope for further improvements, in particular with a view to the needs of high precision vertex detector layers for future high luminosity colliders, where the radiation doses may be even worse than those ex- Layout * 3 barrel layers. 2x5 disk layers . Three space points for jnj< 2.5 . Modular construction(about 2000 modules) Radiation hardness . Lifetime dose - 25 MRad at 10 cm » Leakage current in 50pnix3OOpm pixel is - 30 nA after 25 MRad. * Signal loss in silicon by factor 4-5 after 25 MRad(or-1015 n/cm2} Pattern recognition » Space points. Occupancy of - 10"11 Performance ° Critical for b tagging(big physics impact) Need for 3 hits confirmed by simulation Trigger * Space points-> L2 trigger B-Layer * More demanding in almost ail aspects * Evolving to essentially separate project Optical Bias fibîifs fies cable- * , •V p j*i'■ Sificsn sensor liter EI 1 ti- f,Lri Frei I . -i chips burnp-t i cKj to senzor L| Ii if ^ 'k i hrsl prototypes do not have optical connections or ilex power connection and are mounted on PC boards lot' lasting Fig. 1. Structure of the ATLAS pixel detector array, consisting of 2228 silicon pixel modules, mounted in a lightweight carbon fibre support structure. Each module consists of 18 x 160 cells of 50x400 pm2 pixels, flip-chip bump-bonded using low temperature solder to custom read-out chips, for a total of around 140 million read-out channels. pected at the LHC. Three approaches have recently been made to the problems: (a) "defect engineering" of the basic silicon wafers, e.g. by introducing higher levels of oxygen dopant atoms /32/, (b) so-called "3-D" detectors /33/ and (c) cryogenic operation of silicon detectors, based on the so-called "Lazarus Effect" /34,35/. Figure 3 illustrates the difference between conventional detectors and 3-D detectors. The former are based on planar technology, with electrodes on either face of the silicon wafer, while the latter employ a regular matrix of cylindrical electrodes drilled through the wafer, e.g. by reactive ion etching, laser drilling or light-enhanced chemical etching techniques. 2 K.M. Smith: Semiconductor Pixel Detectors Informacije MiDEM 30(2000)1, str. 1-15 Genera! features . Active matrix 18x160 pixels ♦ inactive area for buffer and control Critical requirements » Time walk <20 ns Timing uniformity acros array(M»y 21- IW 200 MICRON PTTCH, PRE IRRADIATION HBU) MAY 3, 19» 100 MICRON PITCH, PRE IRRADIATION • DIH5.6) Fig. 4. The response of prototype "3-D" silicon detectors to stimulation by an LED light source, as a function of the applied bias voltage, (adapted from ref./33/). The lower parts of the figure illustrate the deterioration of the signal after irradiation with 55MeV protons to fluences corresponding approximately to the expected ten year exposure at the Large Hadron Collider. The effect of partial annealing of the radiation-induced crystal defects is illustrate by the change observed one week after the irradiation. 4 K.M. Smith: Semiconductor Pixel Detectors Informacije MiDEM 30(2000)1, str. 1-15 77 K cce-»""cce« ocer .............y * cceq Biais Voltage [V) 77 K CCEO" —CCE6- ccelß' • - 006 36- Fei wild S m O|eiâiio n Revcuc Bi w OpitÄw i _—__—.——__- T «0 * .1. T/ ^ j> o 1.7 § o 3 1.6 _ fiD 1.5 < 1.4 1000 600 200 20 30 40 Energy (keV) 2.5 > © T) "I n. fc -0.005 < -3 0.01 0.005 > 0 4> TJ -«.005 O -0.01 fr < -0.01S -0.02 -3 1.2 g 1 ■ 0,8 ■ (1) T> 0.0 ' r> 0.4 ■ F 0.2 ' < 0 ■> -0,2 -3 Grid 1 1 w^jjdf^f 15 20 Depth Parameter S u 30 40 50 ADC Channel Figure 10: 341 Am spectrum of single-pixel events from the whole bulk of 3-D CZT detector #1. -2-1 0(2 Timers) 4000 3500 3000 o c 2500 r U 2000 a 1500 7} ö looo 500 0 0 662 kev FWHM: 11.6 keV (1.75%) 400 600 ADC Channel Fig. 12. Response of a 1 cm cube CdZnTe pixel detector to 667 keV gamma-rays from 137Cs, obtained by the Michigan Group (cf. Ref. /38/). Suitable pulse shape analysis allows the depth of the gamma-ray conversion point to be measured with an accuracy of around 1 mm. 11 Informacije MIDEM 30(2000)1, str. 1-15 K.M. Smith: Semiconductor Pixel Detectors Material Properties of Diamond (D), SiC, GaAs, Si at 293 "K Property D SiC GaAs Si J3andg;ap {eVJ , 5.5 3.3 1.43 1.12 Breakdown Field [V/cm] !()' " 2*10* 4*103 3*105 Resistivity [C2—cm] > io" >io-' IO" 2.3* lO5 Intrins. Carrier Density [cm"3] IO* < 1 Om lO® 1.5*16*° Eleotr. Mobility {cmV 'V'] 1800 900 8500 1350 Hole Mobility [cmW) 12.00 20 400 480 Sat. Velocity [kin/s) 220 200 80 82 Density {g/cm3) 3.5 3.2 5.3 2.3 Atomic Charge Z 6 14. 6 31 , 33 14 Dielectric Constant 5.7 9.7 13.1 1 1.9 Thermal Exp. Coef. [10^/°K] o.s 4.5 6.9 2.6 Therm. Cond. [Wcrn'r1] 15 4.9 0.4-5 1.5 e-li Creation Energy £eV] 13-17 9 4.2 3.6 Kacî. L.ength X„ [cmJ 12 8.1 2.3 9.4 Spec. Ion l^oss [MeV/cmJ 4.7 4.4 5.6 3.2 c-h pairs /lOOfj-m [ej 3.6k 4.9k 13k 8.9k e-h pairs /0.3% X„ [e] 7.2k 15.9 9. ok 24k 0 12 3 4 5 6 Band gap energy (eV) Fig. 13. Alternative semiconductor bases for detector fabrication are summarised in the graph and table (from ref. 149/). als and their behaviour on irradiation is a continuing challenge to semiconductor physicists. Squeezing the greatest processing capability into the smallest area of pixel detector read-out circuitry is driving circuit design technology rapidly towards the deepest of deep submi-cron process technology available. The other kind of squeeze, on funding levels, encourages ever greater ingenuity in reducing the costs of current technology and in devising radically new interconnect technology. In short, there is no lack of challenges and no shortage of stimulating areas of research in this field! Acknowledgments This work was supported by the European Community, within the Brite/Euram project, XIMAGE (BE-1042), and by the UK PPARC Foresight "IMPACT" Project. The author wishes to acknowledge the essential contributions to the results described from a very large number of colleagues within the detector development community. He also wishes to thank the organisers of the MIDEM conference for their invitation and hospitality. References /1/ E.H.M. Heijne et al., "The Silicon micropattern detector: a dream?", Nucl. Instr.& Meth. A273 (1988) 615: /2/ E.H.M, Heijne et al., "Development of silicon pixel detectors: an Introduction". Nucl, lnstr.& Meth. A275 (1989) 467: 13/ M. Campbell et al., "A 10 MHz micropower CMOS front end for direct read-out of pixel detectors", Nucl. Instr.& Meth, A290 (1990) 149 /4/ E.H.M. Heijne et al., "First operation of a 72k element hybrid silicon micropattern pixel detector array". Nucl. Instr.& Meth A349 (1994) 138 /5/ C.J.S, Damerell et al., "Charge-coupled devices for particle detector with high spatial resolution", Nucl. Instr. & Meth. 185 (1981) 33; "Vertex Detectors" (1995). Rutherford Appleton Laboratory report, RAL-P-95-008 /6/ J. Kemmer, G. Lutz, Nucl. Instr. & Meth A273 (1988) 588 /7/ S. Parker, Nucl. Instr. & Meth. A275 (1989) 494 /81 W. Snoeys et al, "First beam test results from a monolithic silicon pixel detector", Nucl. Instr.& Meth. A326 (1993) 144 /9/ C.J. Kenney et al., "A prototype monolithic pixel detector". Nucl. Instr.& Meth. A342 (1994) 59 /10/ P. Delplerre et al.. "Large scale pixel detectors for DELPHI at LEP200 and ATLAS at LHC". Nucl. Instr. & Meth. A342 (1994) 233 /11/ G. Hall. Quarterly Reviews of Biophysics 28 (1995) 1 12 K.M. Smith: Semiconductor Pixel Detectors Informacije MiDEM 30(2000)1, str. 1-15 iSÙ'piwtons 6¿*phokms ;...... ! 100 Triggers -40 -20 20 40 60 80 x position in mm LHCb full-scale Prototype 1117mm Focal Length Mirror Fig. 14. Results of tests of an LHCb collaboration prototype ring-imaging Cerenkov detector (RICH2) in a 15.5 GeV/c test beam at the CERN laboratory, illustrating the detection of the Cerenkov photons in an array of silicon pixel detectors. RODS AND CONES HORIZONTAL CELLS BIPOLAR CELLS AMACRINE CELLS GANGLION CELLS PLATINUM BLACK POLYIMIDE-INOIUM TIN OXIOE QUARTZ - A schematic view of ihe retina placet) on top of an electrode array. The vertical dimensions of the electrode array as drawn are not to scale, the correct dimensions are gi\en in the text. OPTiC NERVE A 74.4 E 5.2 D F G 62.4 H i 1 .2 111 14.8 2.0 1.6 Light On for 2 sec Fig. 15. Light pattern-induced electrical signals from a salamander's retinal neurons, detected using an regular array of 61 microelectrodes connected to microelectronics circuits developed originally for high energy physics applications, (cf. Ref. /58/). On the left is a representation of the cross-section of the retina, which is preserved in saline solution while illuminated with a range of light patterns from a computer monitor. The variety of electrical signals induced in the microelectrode array by different species of neurons firing is illustrated in the signals shown on the right. The average "spike rate" is shown for each type of neuron identified by the spatial and time correlations among the 61 microelectrode signals. 13 K.M. Smith: Semiconductor Pixel Detectors /12/ A. Breskln, Nucl.lnstr. & Meth. A387 (1997) 1 /13/ E.R. Fossum, "Novel sensor enables low-power, miniaturized Imagers", Photonics Spectra, January 1996 /14/ P. Seller et al., "Silicon pixel detector for X-ray spectroscopy", SPIE Vol. 3445, EUV, X-ray and Gamma-ray Instrumentation for Astronomy IX, July 1998; /15/ R. Irsigleretal., "320x240 GaAs pixel detectors with improved X-ray imaging quality", paper presented to the 1sl International Workshop on Imaging Detectors, Sundsvall (Sweden), June 1999, (To be published In Nucl. Instr. & Meth.) /16/ M. Campbell et al., "A Pixel Readout Chip for 10-30 Mrad in Standard 0.25 /im CMOS", IEEE Trans. Nuc. Sei. 46 (1999) 156-160; M. Campbell, E. Pernigotti and W. Snoeys, "A high granularity photon counting chip In dep submlcron CMOS", paper presented to the 1st International Workshop on Imaging Detectors, Sundsvall (Sweden), June 1999, (To be published in Nucl. Instr. & Meth.) /17/ P. Seller et al., "Two Approaches to hybrid X-ray pixel array readout", Nucl. Instr. & Meth. A (1999); P. Seller, "Performance of an Energy Resolving X-Ray Pixel Detector", paper presented at the 5th International Conference on Position Sensitive Detectors, University College, London, September 1999, (to be published in Nucl. Instr. & Meth.) /18/ E. Heijneetal., "First operation of a 72k element hybrid silicon micropattern pixel detector array", Nucl. Instr. & Meth. A349 (1994) 138 /19/ J.T. Rahn et al., "High Resolution X-ray Imaging using Amorphous Silicon Flat-Panel Arrays", IEEE Trans. Nuc. Sei. 46 (1999) 457-461 /20/ J. Chabbal et al.. "Amorphous Silicon X-ray Image Sensor", SPIE Vol 2708 (1998) 499-510 /21/ K.J. McCarthy, A. Owens, A.D. Holland and A.A. Wells, "Modelling the X-ray response of charge coupled devices", Nucl. Instr.& Meth. A362 (1995) 538-546 /22/' J. Ludwig. R. Göppert, M. Hornung, S. Passmore, M. Rogalla, K. Runge, C. Schwarz and M. Webel, "Development of GaAs-CCD"s for X-ray detection", paper presented to the 1st International Workshop on Imaging Detectors, Sundsvall (Sweden), June 1999, (to be published in Nucl. nstr. & Meth.) /23/ D. Bryman et al., "500 MHz Transient Digitizers Based on GaAs CCDs", IEEE Trans. Nucl. Sei. 38 (1991) 295-300 /24/ R. Irsigler et al., C. Frojdh et al., "X-ray Imaging Using a 320x240 Hybrid GaAs Pixel Detector", IEEE Trans. Nucl. Sei. 46 (1999) 507-512 /25/ J. Vaitkus et al., "Instabilities in LEC Schottky barrier pixel detector Imaging arrays", paper presented to the 1Sl International Workshop on Imaging Detectors, Sundsvall (Sweden), June 1999, (to be published in Nucl. Instr. & Meth.) /26/ K. Einsweiler et al., "Dead-Time Free Pixel Readout Architecture for ATLAS Front-End IC", IEEE Trans. Nucl. Sei. 46 (1999) 166-170 /27/ N. Wermes, "Design and Prototype Performance of the ATLAS Pixel detector"; A.Civini, " The CMS Tracker"; D Websdale, "Development of New Position Sensitive Detectors for Cherenkov Photon Detection"; papers presented at 5lh International Conference on Position Sensitive Detectors, University College, London, September 1999, (To be published in Nucl. Instr. & Meth.) /28/ S. Manolopoulos et al., "Developments in GaAs Pixel Detectors for X-ray imaging", IEEE Trans. Nucl. Sei. 45,(1998) 394-400 /29/ S. Manolopoulos et al., "X-ray imaging with photon counting hybrid semiconductor pixel detectors", Nucl. Instr. & Meth. A434 (1999) 38-43 /30/ E. Heijne et al., "LHC1: a semiconductor pixel detector readout chip with internal, tunable delay providing a binary pattern of selected events", Nucl. !nstr.& Meth. A383 (1996) 55-63 /31/ C. Schwarz et al.,"X-ray Imaging using a 64x64 hybrid GaAs pixel detector with photon counting"; J. Watt et al.. "Applications of pixellated GaAs X-ray detectors in a synchrotron radiation beam", paper presented to the International Workshop on imaging Detectors, Sundsvall (Sweden), June 1999. (to be published in Nucl. Instr. & Meth.) /32/ S. Watts, "Review of Radiation Hardness in Solid State Detectors", paper presented at 5th International Conference on Position Sensitive Detectors. University College. London September 1999, (To be published in Nucl. Instr. & Meth.) /33/ C. Kenney, S. Parker, J. Segal and C. Storment. "Silicon Detectors with 3D Electrode Arrays: Fabrication and Initiai Test Results", IEEE Trans. Nuc. Sei. 46 (1999) 1224-1236: R. Bates, "3-D GaAs Radiation Detectors", paper presented at 5,h International Conference on Position Sensitive Detectors. University College. London, September 1999. (to be published in Nucl. Instr. & Meth.) /34/ V. Palmieri. "The Hybrid Superconducting Pixel Detector" Ph.D. Thesis. University of Bern. 1998 /35/ L. Casagrande et al., "Tracking with Heavily Irradiated Silicon Detectors Operated at Cryogenic Temperatures", IEEE Trans Nucl. Sei, 46, (1999) 228-231; "Radiation Hard Cryogenic Silicon Detectors", paper presented at 5lh International Conference on Position Sensitive Detectors, University College. London. September 1999, (To be published in Nucl. Instr." & Meth.) /36/ The CERN-supportecl RD39 Collaboration. (T. Niinikoski, V. Paimieri spokesmen) /37/ P. Fischer et al., "A counting pixel chip and sensor system foi X-ray imaging", IEEE Trans. Nuc, Sei. 46 (1999) 1070-1074 /38/ Z. He et al.. "3-D position sensitive CdZnTe qamrna-ray spectrometers", Mucl.lnstr.S Meth. A422 (1999) 173-178 /39/ W. Li et al., "Spatial Variation of Energy Resolution in 3-D Position Sensitive CZT Gamma-Ray Spectrometers", IEEE-: Trans. Nuc, Sei, 46 (1999) 187-192 /40/ The CERN-supported RD8 Collaboration, (C, Del Papa. K Smith spokesmen) /41/ G, Bertuccio et al., "Integration of Front-End Electronics with GaAs Pixel Detectors: experimental and feasibility analysis". IEEE Trans, Nuc. Sei. 46 (1999) 1209-1214 /42/ D. McGregor et al., "Gallium Arsenide radiation detectors ano spectrometers", Semiconductors and Semlmetals Vol 43, (1996) 383 /43/ A, Owens et al,, "The hard X-ray response of epitaxial GaAs detectors", ESLAB 1999/043/SA, paper presented at ¡he Second Conference on New Developments in Photodetection, Beaune. June 1999. (to be published in Nucl, Instr. & Meth.) /44/ A. Owens et al., "Synchrotron characterisation of deep depletion epitaxial GaAs detectors", (accepted for publication in J, Appl. Phys.); "Development of compound semiconductor detectors for planetary and astrophysics space missions", paper presented to the 1s' International Workshop on Imaging Detectors. Sundsvall (Sweden). June 1999, (to be published in Nucl. Instr. & Meth.) /45/ V. Gostilo et al., "Technological aspects of development of pixel and strip detectors based on CdTe and CdZnTe". paper presented to the 1*" International Workshop on Imaging Detectors, Sundsvall (Sweden), June 1999. (to be published in Nucl. Instr. & Meth.) /46/ B.A. Brünett et al.. "Fine-Scale Spatial Response of CdZnTe Radiation Detectors", IEEE Trans. Nuc. Sei. 46 (1999; 237-242 /47/ K.J. Matherson et al., "Progress in the Development of Large-Area Modular 64x64 CdZnTe imaging Arrays for Nuclear Medicine", IEEE Trans. Nuc. Sei. 45 (1998) 354-358 J. Vaitkus et al., "Instabilities in LEC Schottky barrier pixel detector imaging arrays", paper presented to the 1s5 international Workshop on Imaging Detectors. Sundsvall (Sweden), June 1999. (to be published in Nucl. Instr. & Meth.) 14 K.M. Smith: Semiconductor Pixel Detectors Informacije MiDEM 30(2000)1, str. 1-15 /48/ A.J. Whitehead et al., "CVD diamond for medical dosimetry applications", paper presented to the 1st International Workshop on Imaging Detectors, Sundsvall (Sweden), June 1999. (to be published in Nucl. Instr. & Meth.) /49/ T. Dubbs et al., paper presented at the IEEE NSS, Toronto, Canada, November 1998 /50/ H.B. Barber et al., "UseofCdZnTe Pixel Arrays with Multiplexer Readout to Map Detector Crystal Properties", IEEE Trans. Nuc. Sei., 46 (1998) /51/ K. Mathieson, "Charge Sharing in Pixellated GaAs and Silicon X-Ray Detectors - Simulation and Experiment"; J.V. Ashby, "Computational Modelling of Semiconducting X-Ray Detectors"; "Initial Computational Studies in the Modelling of Position Resolving Cryogenic Detectors"; papers presented at 5th International Conference on Position Sensitive Detectors, University College, London, September 1999, (to be published in Nucl.Instr. & Meth.) /52/ P. van der Stelt, "The Implementation of Digital Sensors in Maxillofacial Radiography", paper presented to the 1st International Workshop on Imaging Detectors, Sundsvall (Sweden), June 1999, (to be published in Nucl. Instr. & Meth.) /53/ J. Heliiwell, "X-ray and neutron crystallography ofproteinsand enzymes", paper presented to the 1st International Workshop on Imaging Detectors, Sundsvall (Sweden), June 1999, (to be published in Nucl. Instr. & Meth.) /54/ P.J. Sellin and A. Boardman, "First results from the Cornell/Surrey GaAs Pixel Array Detector", paper presented to the 1st International Workshop on Imaging Detectors, Sundsvall (Sweden), June 1999, (to be published in Nucl. Instr, & Meth.) /55/ P. Datte et al., "Status of the digital pixel array detector for protein crystallography", Nucl. Instr.& Meth. A421 (1999) 576-590 /56/ S. Manolopoulos et al., "X-Ray Powder Diffraction with Hybrid Semiconductor Pixel Detectors", J. Synchrotron Rad. 6 (1999) 112-115 /57/ J. Pozela et al., "X-ray luminescence spectra of graded gap AIGaAs structures", paper presented to the 1st International Workshop on Imaging Detectors, Sundsvall (Sweden). June 1999, (to be published in Nucl. Instr. & Meth.) /58/ /59/ A.M. Litke. "An advanced pixel detector", Nucl. Instr,& Meth. A386 (1997) 167-171 W. Chen et al., "Design and Processing of Various Configurations of Silicon Pixel Detectors for High Irradiation Tolerance up to 6x1014 n/cm' in LHC Application", IEEE Trans, Nuc. Sei. 45 (1998) 348-353 K.M.Smith Department of Physics and Astronomy, University of Glasgow, Glasgow G12-8QQ, UK Prispelo (Arrived): 19.1.00 Sprejeto (Accepted): 19.2.00 15 Informacije MIDEM 29(1999)4, Ljubljana UDK621,3:(53 + 54+621 +66), ISSN0352-9045 INFLUENCE OF Sb20s ADDITION ON ELECTRIC PARAMETERS AND STABILITY OF ZnO VARISTORS Grzegorz Pašciak, Witold Mielcarek, Krystyna Prociow, Electrotechnical Institute Wroclaw, Poland PAPER PRESENTED AT MIDEM '99 CONFERENCE 13.10.99 - 15.10.99, Ljubljana, Slovenia Keywords: ceramics, ZnO varistors, Zinc Oxide varsistors, electrical parameters, stability, Sb203, antimony oxides, additions Abstract: Zinc oxide ceramics containing Efe03 and other metal oxides as additives exhibit a highly nonohmic property in current - voltage characteristics and is widely used for absorption of transient surges in electronic circuits. The nonlinearity effect is produced by potenial Schottky 's barriers which are partly caused by oxygen ions adsorbed on the ZnO grain surfaces. The passage of current during the varistor operation causes, among others, the desorption of oxygen ions from ZnO surfaces and its lost to the ambient. Oxygen ion desorption contributes to varistor degradation by creation in an intergranular layer a 8-Bi203 phase characterised by great number of oxygen vacancies and high ionic conductivity. Decrease of Biž03 ionic conductivity, being the main component of intergranular layer, is equivalent to decrease of degradation coefficient. It can be achieved by addition of varistor ceramics with Sb203. Antimony oxide can dissolve in S-b12o3 or react with ZnO and O phases forming Zn7Sb20i2 spine! and amorphic Bi203. What more it was found that Sb203 doping modifies fi-BteOs phase in less conductive form. The compositions originally added with 0.3 and mol1%. modified 5-Bi203 crystal lattice at the greatest rate. More antimony oxide caused thai the amount of Sb203 dissolved in 5-Bi203 decreased and more spinel particles appeared. Vpliv dodatka Sb20s na električne parametre in stabilnost ZnO varistorjev Ključne besede: keramika, ZnO varistorji cink oksidni, parametri električni, stabilnost, Sb203 oksidi antimona, dodatki Izvleček: Cink oksidna keramika, ki vsebuje Bi203 in druge kovinske okside z dodatki ima skrajno nelinearno tokovno-napetostno karakteristiko in se uporablja za izdelavo elementov, ki ščitijo elektronske sestave pred prehodnimi napetostno-tokovnimi preobremenitvami. Nelinearnost karakteristike je posledica potencialnih Schottky zapor, ki jih deloma povzročajo kisikovi ioni adsorbirani na površino ZnO zrn. Električni tok. ki teče med delovanjem varistorja. povzroča med drugim desorbcljo kisikovlh ionov s površine ZnO zrn v okolje. Desorbcija kisikovih ionov pomeni degradacijo varistorja, saj povzroča tvorbo faze 8-b12o3 v prostorih med zrni, ki jo karakterizira prisotnost velikega števila kisikovih vakanc in visoka Ionska prevodnost. Zmanjšanje ionske prevodnosti BI203, ki je glavna komponenta plasti med zrni, je ekvivalentno zmanjšanju koeficienta degradacije. Omenjeno lahko dosežemo z dodatkom Sb203. Antlmonov oksid se lahko raztopi v 8-Bi203 ali pa reagira z ZnO in O fazo ter tvori Zn?Sb20i2 spinel In amorfni Bi203. Dodatno je bilo ugotovljeno, da Sb203 zmanjša prevodnost 8-61203 faze. Dodatki s sestavo 0.3 in mol1% so v največji meri spremenili kristalno strukturo 8-BI203. Večje količine antlmonovega oksida so povzročile manjšo topnost Sb203 v 8-BI203 in povečanje števila spinelnih delcev. INTRODUCTION ZnO varistor ceramics consists of ZnO grains separated from each other by an intergranular layer formed by products of additives reactions between each other and with ZnO. The reactions in the process of varistor fabrication are well known /1,2/. Fundamental compo-sition of varistor ceramics constitute ZnO, spinel type and Bi-rich phases. The presence of Bi-rich phase is essential for non - ohmic property in varistor voltage - current characteristics. During the sintering the starting low temperature a-Bi203 type phase by interstep Bi2 (Zn4/3Sb2/3)06 pyro-chlore phase converts in spinel phase and Bl-liquid which, during cooling, crystallises in high temperature 8-Bi203 phase. Bi2 (Zn4/3Sb2/3)06 + ZnO —> Zn (Zn4/3Sb2/3)04 + Bi203 spinel liquid Nonlinerity is related to potential barriers on ZnO grains boundaries. The height of these barriers depend on the kind and amount of additives and oxygen ions. Oxygen ions adsorb on ZnO grains during cooling to the room temperature in the following sequence: 02^ 02-> 20 ^202' temperature-* It is said /3/that 10% of potential barriers is caused by adsorbed oxygen ions. The oxygen participation in formation of potential barriers is, in all probability, much greater because ZnO ceramics sintered in nitrogen atmosphere does not show varistor behaviour. Oxygen ion adsorption benefits by oxygen vacancies of intergranular 8-Bi203 phase. Due to oxygen vacancies 5-B12O3 facilities oxygen transition from the air to ZnO grain borders. Anyway the high -temperature 5-Bi203 -phase exhibit an extremely high ionic conductivity which causes the desorption of oxygen ions and increase of leakage currents. It is known /4,5/ that B12O3 ionic conductivity can be decreased by doping varistor ceramics with pentox- 16 G. Pasciak, W. Mieicarek, K. Prociow: Influence of Sb203 Addition on Electric Parameters Informacije M1DEM 30(2000)1. str. 16-19 ides. Pentoxldes M2O5 dissolved in S-Bi2C>3 phase modify Bi203 lattice constants and reduce the oxygen vacancies according to the following expression: Bi4(1 -x) M4x06+4xD2-4x whered states for oxygen vacancy. Since, in varistor ceramics, Sb203 converts into Sb20s the above is valid for antimony oxide as well. Dissolving in 8-Bi203 phase Sb2C>3 modifies 5-Bi203 lattice constants and probably decreases 5-Bi2C>3 ionic conductivity. Reduction of oxygen vacancies in 8-Bi203 phase in the vicinity of Sb2C>3 hinders the oxygen desorption from ZnO grains and in this way retards the varistor degradation. 0 5 % mol. StjjCb Fig.1: The influence of Sb2C>3 addition on non-linearity coefficients cxi -(0.01-0.1mA), «2-(0.1-1 mA), e/3 -(1-10mA) EXPERIMENTAL PROCEDURE For preparation of varistor samples the different: 0.3, 0.5,1,1.5,2, and 3 mol % amount of Sb2C>3 were added to a reagent grade ZnO powder. The other additives were kept at a stable ratio: 1 mol% Bi203, 0.8 mol% NiO, 0.5 mol% MnO, 0.4 mol% Cr203, 0.5 mol% C02O3. Varistor samples were prepared by conventional wet-mixed-oxide ceramic technology. All mixtures were wet milling for 24 hours and dried. After addition of an organic binder and the pressing of the granulate to 15 mm disc, the green samples were sintered in an atmosphere of ambient air at 1250°C for 1 hour and then furnace cooled. As -sintered samples have been electrically charater-ised for identifications of breakdown voltages V1 mA/mm, u coefficients and varistor degradation. To make electric contacts a thin layers of silver were evaporated onto the both surfaces of discs. The crystal phases in sintered bodies were identified by X-ray powder dlffractometer using CoKa Fe filtered radiation. Chemical composition of samples and micro-structure SEM observations were carried out using Electron Probe X-ray Jeol JXA 5a Microanalyzer. RESULTS The basic l-V characteristics of varistors were measured by dc current in range from 10 pA up to 10 mA at room temperature. The influence of Sb203 addition on non-linearity coefficients for three ranges of currents is presented in Fig. 1. As can be seen the addition of Sb203 improves varistors non-linearity coefficients a. The mean non-linear exponents reached a steady - state value 50 but when 3% of Sb203 was added they significantly decreased. The suitable, from the point of view of non linearity property, is the addition of 0.3 - 1.5 mol% Sb203. The correlation between the breakdown voltage and Sb203 is shown in Fig. 2. The breakdown voltage of varistor without antimony oxide was 80 VimA/imm and grew up to 470V for samples with 0.3 mol% Sb203 and did not change distinctively with further Sb203 addition. Ë > 250 200 150 100 50 0 0.5 1 % mol. Sb,03 Fig. 2: Dependence of breakdown voltage V-\ mA/1 mm on Sb2Û3 addition. 100 200 t [min.] Fig. 3: Varistors degradation characteristics after electrical degradation in 50pA DC electric field at 115°C for 5 hours. Varistors degradation characteristics after electrical degradation in 50 pA DC electric field at 115°C for 5 hours are presented in Fig. 3. As can be seen even small addition of Sb203 (0.3mol%) improved significantly varistor stability. After 24 hours from disconnecting the degrading electrical field the ageing samples were measured electrically. The nonlinerity coefficient changes after ageing are shown in Fig. 4. As can be seen nonlinerity coefficients were polarity dependent and the changes of 0. 17 Informacije MIDEM 30(2000)1, str. 16-19 were asymmetrical. The electrical field mostly affected the pre-breakdown regions (ai and «2). At higher currents the polarity effect partly disappeared and samples with 0.3-2 mol% Sb2C>3 had sufficiently good a coefficients before and after degradation. [¡before Rafter ageing. "¡Jiafter ageing, ageing " polarisation + polarisation- 0 0,3 0,5 1 1,5 % mol. SbjQa 0,3 0,5 1 1,5 % mol. Sba O3 As can be seen from Fig. 5 the vicinity of Sb203 changed the positions of Bi2C>3 characteristic peak what gives the evidence that Sb203 penetrates into 5 Bi2C>3 structure and modifies its crystal lattice constants. Addition of 0.3 mol% Sb2C>3 modified 5 Bi203 lattice constants at the greatest rate. 0% SbjO, 1308 SOD 0.3% """ 0.5% _.--*" _—_.,„._,.......-■—■■-....."" 1.5% .00 : :: Fig. 5: Position of the strongest 5 B/2O3 diffraction peak in varistor samples doped with different amount of S/32O3. Fig. 4: 0 0,3 0,5 1 1,5 2 % mol. Sbï03 Dependence of nonlinerality coefficient a on S&2O3 addition before and after ageing. From the results of X-ray powder diffraction measurements the following crystal phases in varistor ceramics were identified: ZnO, 8 Bi203 or mixture of 5-Bi203 and P Bi203 (P - X-ray diffraction peaks overlap on those of 5 -phase) and Zn7Sb20i2 i.e Zn(Zn4/3Sb2/3)C>4 spinel phase. As it was expected, the varistor compositions originally added with more antimony oxide had more spinel particles but unexpectedly X-ray intensities of 5 Bi2C>3 characteristic peaks decreased. Although it is possible that Bi203 volatization to the ambient increased in Sb203 presence we put it on conversion of Bi203 crystal phases into glass amorphic one. The quantity SEM measurements presented in Table 1 proved that only Sb dissolved In 8-61203 is able to modify 8-Bi203 lattice constants. The Sb dissolved in 8-Bi203 phase amounted to 0.6 wt.% in samples originally doped with 0.3 and 0.1mol% Sb203. These were also the samples in which Sb modified 5-Bi203 lattice constants. The further addition of Sb203 caused the Bi203 phase self - purification and the Sb amount dissolved in §-Bi203 dropped to 0.1 wt.%. It can be inferred that at higher concentration Sb203 more readily comes into reactions with ZnO and forms spinel phase then dissolves in S-Bi203. Table 1: The quantity SEM measurements of the spinel and Sb contents in varistor samples. mol %Sb203 in varistor 0.0 ; 0.3 composition 0.5 1.0 1.5 2.0 wt% Sb dissolved in ! 0.0 0.6 S-B12O3 | 0.6 0.4 0.1 : 0.0 ; spinel wt% in varistor after 0.0 2.2 sintering 2.6 2.8 ! 3.5 3.4 ! 0.0 18 G. Pasciak, W. Mielcarek, K, Prociow: Influence of Sb203 Addition on Electric Parameters ... Informacije MIDEM 30(2000)1, str. 16-19 Elsewhere presented measurements /6/ gave the evidence that modified S-BÎ2O3 phase had a desirable smaller ionic conductivity. CONCLUSION Carried out investigations fully proved the ability of modification of varistor electrical properties and stability by doping varistor ceramics with appropriate amount of Sb203. It was found that addition of Sb203 modifies 5-Bi203 phase in less conductive form. The compositions originally added with 0.3 and mol1%. modified 5-Bi203 crystal lattice at the greatest rate. /1/ J. Wong, "Mlcrostructure and Phase Transformation in a Highly Nonohmic Metal Oxide Varistor Ceramics", J. Appl. Phys., vol. 46, No 4 (1975), pp 1653 /2/ Z. Cymbrykiewicz, W. Mielcarek, "Effect of Mn02 Concentration on the Phase Relationship in ZnO, b12o3, Sb203 system", Xllin Conference on Applied Physics Cieszyn 1986, pp 165-67. /3/ G.D. Mahan, "Intristic Defects in ZnO Varistors", J. Appl. Phys., vol. 54, No 7 (1983), pp 71-90 /4/ T, Takasakl, H. Ivara, T. Esaka, "High Oxide Ion Conduction in Sintered Oxide of the System Bi203-M20s", J. Electrochem. Soc.. vol. 124, No 10 (1977), s. 1563-69. /5/ M. Mlyayama, S. Katsuta, Y. Suenga, H. Yanagida. "Electrical Conduction In 8-Bi203 doped with Sb203". J. Am. Ceram. Soc., vol. 66, No 8 (1983), pp 585-88. /6/ G. Pasciak, PhD thesis. Technical University of Wroclaw. Wroclaw 1998 Grzegorz Pa sciak, Witold Mielcarek, Krystyna Prociow Electrotechnical Institute, ul, M. Sktodowskiej Curie 55/61 50 369 Wroclaw, Poland, e-mail: mielcar@iel. wroc.pl Prispelo (Arrived): 15.10.99 Sprejeto (Accepted); 19.2.00 19 UDK621,3:(53+54+621 +66), ISSN0352-9045 DIRECT BONDING OF (111) AND (100) ORIENTED SILICON WAFERS Drago Resnik, Danilo Vrtačnik, Uroš Aljančič and Slavko Amon Laboratorij za Mikrosenzorske strukture, Fakulteta za Elektrotehniko, Ljubljana, Slovenia Keywords: semiconductors, silicon wafers, bonding, direct bonding, surface roughness, crystal orientation dependent bonding, bond tensile strength, voids, MEMS, MicroElectroMechanical Systems, MM, MicroMachining Abstract: Wafer bonding of commercially available (100) and (111) silicon wafers was performed in the range of temperatures from 80"C to 400'C in nitrogen, oxygen and iow vacuum atmosphere. Surface preparation with modified RCA cleaning method and hot nitric acid provided extremely clean and hydrophilic surfaces that were later brought into intimate contact. Bonding quality evaluated by the tensile strength measurements showed the highest values reaching 18 MPa. Correlation between prebonding treatment, initial surface roughness and microroughness was made revealing the influence on the bonding energy. (111) oriented wafers exhibited higher bonding abilities compared to (100) in case of bonding wafers with native oxides. This is believed to be due to higher density of available bonding sites as a consequence of enhanced chemical oxide growth rate and its homogeneity on (111) surface. Moreover, it is believed that due to higher positive charge of oxides grown on (111) oriented silicon compared to (100), desorption of interfacial water is accelerated, thus increasing the bonding energy at lower temperature. Interface imperfections of bonded samples were investigated by infrared transmission imaging revealing bubbles at the bonded interface only iri case when bonding was performed in oxygen ambient. Various combinations of surface terminations such as thin chemical native silicon dioxide and thick thermal silicon dioxide on (111) and (100) oriented silicon wafers were prepared and investigated. In conclusion, the best bonding results were obtained by bonding (100) wafers with thick thermal oxide to (111) wafers with native oxide and annealed in nitrogen ambient. Bonding of silicon pressure sensor on (111) oriented substrate was finally performed as a practical example of application of this bonding technique. Direktno bondiranje silicijevih ploščic orientacije (100) in (111) Ključne besede: polprevodniki, rezine silicijeve, bondiranje, bondiranje neposredno, hrapavost površin, bondiranje odvisno od orientacije kristalov, trdnost natezna bondov, praznine, MEMS sistemi mikroelektromehanskl, MM obdelava najfinejša Povzetek: Medsebojno spajanje ali takoimenovano "bondiranje" silicijevih ploščic kristalnih orientacij (100) in (111) je bilo izvedeno pri temperaturah med 80 In 400°C v treh različnih atmosferah: dušiku, kisiku in pri nizkem vakumu (10 Pa). Priprava silicijeve površine je bila Izvedena z modificirano metodo klasičnega RCA čiščenja, ki se uporablja v mikroefektroniki ter naknadno pripravo površine v dušični kislini pri 70 in 110°C. Tako smo dobili izjemno čisto površino brez delcev in ostalih nečistoč, obenem pa kemijsko zaključeno s tanko plastjo silicijevega oksida, torej hicirofiino površino. Po fizičnem spajanju silicijevih vzorcev pri sobni atmosferi In temperaturni obdelavi so bili narejeni testi natezne trdnosti spojenih vzorcev. Trgalni preizkusi so pokazali dosežene vrednosti do 18 MPa. V članku so nadalje podane korelaclje med začetno hrapavostjo silicijevih vzorcev ter vplivom čiščenja na slednjo in natezno trdnostjo spojev. Silicijevi vzorci (111) orientacije so pokazali večje natezne trdnosti v primerjavi z (100) vzorci za primer, če so bile spajane površine zaključene s kemijskim oksidom. To je verjetno zaradi večje gostote vezi na (111) silicijevi površini, kar ima za posledico bolj homogeno in hitrejšo rast kemijskega oksida na površini. Nadalje se lahko sklepa, dajedesorpcija molekul vode Iz spojne površine povečana tudi zaradi večjega pozitivnega naboja v oksidu, ki zraste na površini (111). To naj bi bil dodaten vzrok za povečanje bondirne energije pri nizkih temperaturah. Poleg zaključltve površine s tankim kemijskim oksidom je bila pripravljena tudi površina z debelejšim termično raščenim oksidom (253nm). Pokazano je, da je bondiranje najbolj uspešno ravno v primeru, če ima ena površina tanek kemijski oksid, druga pa debelejši termični oksid. Na podlagi teh ugotovitev je bilo Izvedeno bondiranje silicijevega senzorja tlaka na silicijevo podlago (111) orientacije. 1. Introduction Wafer bonding refers to a mechanical fixation of two or more wafers to each other by intermolecular forces between them. Direct fusion bonding of silicon wafer pairs was in detail first reported by Lasky more than a decade ago for the purpose of SOI technology /1/ and by Shimbo on the formation of bonded pn diode /2/. However, with progressive development of silicon mi-cromachining, bonding at waferscale has also become a very essential technique in advanced silicon mi-cromachining and fabrication of microsystems. Sensors and actuators as well as other microelectro-mechanical systems (MEMS) realized by micromachin-ing are mounted usually on a rigid substrate, whether due to assembly purpose or due to their functionality requirements. Some of them require hermetically sealed cavities, for example absolute pressure sensors while others utilize bonding to realize multilayered structures such as micropumps, microvalves or similar. Bonding in generally is however not restricted only to silicon but in this work only this subject will be considered. For instance silicon wafers can be bonded by electrostatic bonding to glass with matched thermal expansion coefficients such as Pyrex 7740 /3/. Low temperature bonding with intermediate layers of binding PSG glass, frit glasses, metals or polymers is also used in many cases, but has inferior performances regarding stability and hermetical sealing /4/. To exclude thermal mismatch, bonding of silicon wafer to another silicon wafer is an appropriate solution. We distinguish between silicon to silicon direct fusion bonding which takes place at high temperatures (900°C-1000°C) and direct bonding at low temperatures (below 400°C). The first approach requires high temperature 2.0 D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Direct Bonding of (111) and (100) Oriented Silicon Wafers Informacije MIDEM 30(2000)1, str. 20-31 step that many devices built on the wafer can not withstand, while the second one exhibits some inferior bonding performances such as lower bonding energy and presence of voids at the bonding interface. Progress made in recent years with emphasis on surface preparation techniques brought the bonding energies close to those achieved with high temperature processes. Among several techniques used in bonding active silicon wafer (wafer with prefabricated circuitry or micro-structures) on silicon support wafer, low temperature bonding /5-15/ is emerging as a very promising one due to the following facts: • no intermediate layers are needed (no thermal expansion mismatches) 8 no external electrical field is applied (possible active circuitry damage is avoided) • no high temperature steps (enabling bonding of finished metalized structures) Many low-temperature direct bonding approaches and techniques were recently proposed with different bond quality and application suitability. They are dealing with bonding in ultraclean environment/17/, bonding in low vacuum range /14/, ultra high vacuum at room temperatures /10,11,16/, etc. While many are based on wet chemical surface preparation, some propose appropriate in-situ preparation of hydrophilic surface with oxygen plasma /11/ or argon high energy beam surface etching /10/. From the practical and environmental point of view it is expected that dry cleaning methods in vacuum will probably prevail over wet chemical methods or a combination will persist. Despite all, one must not forget that bonding has to be a robust, reproducible and cost effective production oriented process. Excellent results were recently reported by Satoh, who performed bonding with aid of thin film of water glass at 80°C /34/. In this place a very extensive survey report by Plossl should be mentioned, containing numerous references from this field /35/. This paper addresses some relevant issues in silicon wafer bonding such as surface roughness, wafer orientation, surface preparation and the impact these parameters have on bond quality. In silicon micromachining, support bonding wafer is commonly of (111) or (100) crystal orientation, P or N type, but does not exclude also some other materials and crystal orientations. It has to comply with the process and assure appropriate bonding quality for the specific application. Our research was focused mainly on bonding differently prepared hydrophilic surfaces of (111) and (100) oriented silicon wafers using low temperature processes (80°C-400°C) under three distinct ambient conditions: N2, 02, and low vacuum in order to compare their bonding performances. The aim of this work was to achieve a high bonding energy by covalent bridging between two mating hydrophilic silicon surfaces at low temperature using the chemicals which do not attack any layer of the finished device. 2. Bonding mechanism During the last ten years, many models were proposed by different authors /1,23,24,25/. The model recently proposed by Tong and Gosele /25/ offers a very concise and consistent insight into bonding mechanism and it is primarily based on the fact that surfaces brought together are originally prepared hydrophilic, i.e. covered with silanol groups which act as adsorption sites for water molecules. It is now widely accepted that silicon surface hydrophilicity, caused by hydroxyl groups and physisorbed water, plays a major role in the process of bonding two silicon surfaces. Hydrophilic nature of the silicon surface can be obtained either by oxygen plasma activation or by wet oxidizing agents (sulfuric acid, nitric acid, SC1 cleaning solution,..). The schematic presentation of termination of two hydrophilic surfaces in contact as shown by Weldon is presented in Fig.1. active wafer Si ■ H h SiO- , H H '!-J M OH OH MjO OH H • SiO, Si ' H I 'U H'-pi 1" 0,u-i J ,-i I,' - C'l11 ,J OH, ri i.' !!• ,n SUppOf' '.Vafeff Fig. 1: Depiction of bonding interface region of two hydrophilic silicon surfaces as presented by Weldon 1291. o o o. : . o. i o - Si-.0 v "o ■ Si-,o o 0 o 0 o o H >120 C H.. H H 'O'. O 'H . H . H O' O' -Si '-O +6 H,O a) O ■ o „ o o o 0. 0 i 0 H ' ,0 " H H ' 0, ' H " 0 H . ' 0 H. : ...H H | H > 120'C + 5 H,O O- 6 O b) Fig. 2: 0 i 0 Bonding model by Tong /25/, showing bridging of two hydrophilic silicon surfaces at room temperature and subsequent transform to siloxane bonds at elevated temperature with excess water. Both types of OH groups, a) isolated and b) associated are acting simultaneously. 21 D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Informacije MIDEM 30(2000)1, str. 20-31 Direct Bonding of (111) and (100) Oriented Silicon Wafers Model of Tong and Gosele is presented in Fig. 2 and illuminates the bonding by the following explanation. At room temperature and relative humidity of 45%, hydro-philic surface is inevitably additionally covered with few monolayers of water molecules attached to OH groups via hydrogen bonds. After bringing two hydropbilic surfaces into intimate contact it was also observed that the wafers can be separated by blade and moreover the bond can be restored again. For example, it Was shown by Bâcklund that hydrophobic surfaces (only Si-H terminated surface) also stick together /28/, while Weldon further showed by infrared absorption spectroscopy that only hydrogen terminated surfaces stick together via Van der Waals forces /29/. This could not be confirmed for hydrophilic surfaces /29/. Room temperature bonding of two hydrophilic surfaces in our case is presumably taking place by hydrogen bonds between opposite water molecules groups. Tong model in Fig. 2 shows water bridging between two surfaces via isolated and hydrogen-bonded associated OH groups. By taking into account saturated density of both silanol groups, surface energy of 165mJ/m2 was calculated, that is in agreement with measured results. Energetically favorable rearrangement of bonds occurs at subsequent bond annealing or strengthening above 130°C. The temperature range 130°-150°C is consistent with the fact that most of the water is removed from the interface at this temperature and appreciable polymerization of silanol groups across the interface occurs via hydrogen bridges (Eq.1). Si-O-Si covalent bonds are starting to form with interfacial water as a byproduct. On the other hand water molecules cause partial slow fracture of Si-O-Si bonds, which are chemically more active by the presence of stress in strained hydrous oxide layer as shown by Michalske-Freiman model/31/. Consequently this reaction in reverse direction causes increased number of Si-OH groups (Eq. 1). Desorption of water at this temperature is however not complete and some water (20%) is still present at bonding interface up to 400°C /29/. Based on the previous facts we believe that water acts via two mechanisms in the reaction as follows from Eq.1 and reenters the process via Eq. 2 (dissociation and oxidation as confirmed by IR spectroscopy by Weldon /29/). It is our believe that bonding is also orientation dependent, taking into account different growth rate mechanism of chemical oxide on two orientations under investigation. Si-OH + HO-Si ^ Si-O-Si + H20 (1) Si + 2 HsO Si02 + 2H2 (2) If interface water is successfully removed from interface via outdifusion, what is less probable, or time and temperature dependent dissociation takes place, then strong siloxane bonds are formed. Interfacial species must either react at the interface or dissolve into the oxide and bulk in order to allow the oxides to mate tightly. If water is trapped at the interface, bubbles are formed as described by several authors /6,17,24,32/. For unbonded part of the area a term bubble or void is normally used. Water within bubbles can play a role at elevated temperatures via enhanced dissociation process thus releasing pressure which makes the bubbles to finally disappear. However they simultaneously gen- erate from other reasons, possible sources being hydrogen from dissociation process (Eq. 2) or vaporized hydrocarbons (CHX). This was studied in detail by Mi-tani, showing that all three origins can take place simultaneously in bubble formation process /17,32/, Solutions to this problem were proposed such as wafer preheating to desorb hydrogen or cleaning to remove hydrocarbons, while for water removal, bonding in high vacuum was suggested /10/. Wet oxidizing treatment of clean hydrophobic silicon surface in hot HNO3 proceeds via chemical reaction according to Eq. 3 rendering not only the growth of strained native oxide with sufficient density of attached silanol groups, but also removes hydrocarbons from the surface /5/. Due to gaseous NO reaction product, trapped in porous chemical oxide long rinsing in Dl water is mandatory to remove all traces. 3Si + 4HNO3 3Si02 + 4NO + 2H20 (3) Hattori showed that the structure of this oxide can be characterized by the distribution of Si3'1 suboxide and that the correlation to Si-H bond density can be established. This correlation explains that enhanced formation rate of native oxide in nitric acid is due to increased mobility of oxidizing species through present Si-H network which terminates the surface prior to hydrophili-sation. Distribution of Si3+ is uniform in contrast to other hydropylisation chemicals which result in a piled up distribution at the interface /19/. This was also confirmed by Jiao, who reported that beside sufficient Si-OH also Si-H surface terminations are found simultaneously on the surface /8/. Aoyama et al. have showed by selective etching with photoexcited fluoride and by STM observations that Si02 formed in HNO3 or SC1 is not a uniformly thick layer, but has rather an island structure, with some islands connected mutually together, thereby confirming the existence of both Si-OH and Si-H surface termination appearing simultaneously. Pinhole density of such chemically prepared oxides was estimated to 5x109 per cm2 /27/. With oxygen plasma treatment, the desorption of undesired adsorptives and the activation of free sites for the adsorption of OH groups is achieved simultaneously. Treatment in plasma results in highly reactive and thus fully hydrated surface and exhibits long term stability of hydrophilic surface nature /9,26/. From our observation it was determined that the growth of native oxide in nitric acid at 70°C renders the bonding strength lower compared to the oxides grown at 110°C. We suggest that this is due to nonhomogeneous oxide layer growth with more pinholes and consequently less OH bonding sites at the interface. In case of (111) wafers, the growth of chemical oxide is enhanced or at least more homogeneous compared to (100) orientated wafers due to lower steric hindrance of surface atoms. This imposes superior bond results in case of (111) oriented wafers as will be shown in the results later. We believe that there also exists influence of positive oxide charge, which influences the water molecule dipole attraction force /24/. As it is known from MOS theory, oxides grown on (111) substrate exhibit about one order higher positive fixed charge than oxides grown on (100) substrates. Stronger hydrophilic 22 D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Direct Bonding of (111) and (100) Oriented Silicon Wafers Informacije MIDEM 30(2000)1, str. 20-31 nature of bonding surface, due to higher positive charge in oxides grown on (111) surface as compared to (100), could be an additional reason for subsequently strengthening the bond via temperature dependent process of water desorption from interface. 3. Experimental Standard, commercially available Czochralsky grown, one side mechanically polished 3" silicon wafers of (100) and (111) orientation were used in our studies. Resistivity of wafers was 10-20 f2cm, while thickness was 380^m. In order to bond wafers successfully special attention has to devoted to the following three fundamental steps: s surface preparation to obtain clean, particle free, smooth and hydrophilic surface 8 prebonding at room temperature in air to join and accommodate two surfaces with specific surface roughness • bond annealing (strengthening) at elevated temperature in appropriate ambient to obtain covalent siloxane bonds between two wafers 3.1 Surface preparation Cleaning of the silicon surface has a great impact on surface chemistry and topography /18/. To mitigate the surface roughening occurring during RCA standard cleaning (SC1) treatment, diluted SC1 was used as described in Table 1. In this stage, a trade off between cleaning efficiency and surface roughening has to be achieved. Surface roughening was monitored by AFM measurements. As it can be seen from Table 1 modified RCA cleaning was followed by oxide removal in diluted HF to expose silicon surface and rinse in deionized (Dl) Table 1. Surface preparation of silicon wafers water. At this point dry wafers were immersed into hot nitric acid (HNO3) at 70°C or 110°C, allowing growth of few monolayers of fresh hydrous chemical oxide. After prolonged Dl water rinsing wafers were dried with hot nitrogen and the surface preparation was hence completed. Following the procedures described in Tablel we obtained a hydrophilic, OH terminated silicon surface /8/. Since the surface during cleaning is very prone to the attraction of particles, special care must be taken when performing these steps. Particle free silicon surface is essential in performing successful bonding task, therefore all the work was performed in cleanroom ambient of class 10. In Table 2 data concerning the wafer pairing combinations by orientation, surface preparation of paired wafers and thickness of layers on the prebonding surfaces are presented. Thickness of oxide layers was measured on parallel test wafers as a difference between ellipsometric measurement prior to and after nitric acid treatment. The values were in agreement with data of other authors /19/. Samples with thermal oxide were prepared by wet thermal oxidation atmosphere at 975°C. 3.2 Prebonding at room ambient Prebonding step has to follow immediately after surface preparation. Two wafers were put into intimate contact by use of teflon fixture in cleanroom ambient and room temperature. It was also found important to initiate bonding in the center by locally pressing the center region from the top, thus enabling bonding phenomenon to propagate radially thus confirming the work of Tong /5/. The aim of this operation is to squeeze out the air cushion between surfaces, thereby preventing any air trapping at the bond interface. Following this operation, pressure in the range of 0.1MPa was applied homogeneously across the entire wafer area for dura- RCA cleaning - modified @ 70°C, 10 min, SC1- (0.25 NH4OH :1 H20? : 5 H?0) Dl, 10 min HF* : H2O 1 : 100 Cg> 25°C, 5 min Dl. 10 min HNO3* @ 70 or 110°C, 15 min Dl, 30 min *HF 50% VLSI Puranal Riedel de Haen *HN03 70% VLSI Puranal Riedel de Haen Table 2. Experimental data of silicon wafer oairing combinations and surface termination prior to bonding No. Bond wafer pairing combinations 1 emperature of HNO3 in native oxide growth [°C| Surface layer thickness before piebonding [nm| 1 (I00) / (111) 1 I0°C/ 110°C 0.8-1 / 0.9-1.2 2 (100) / (100) 110°C/ 110°C 0.8-1 /0.8-1 (1 11) / (111) 110°C / 110°C 0.9-1.2 / 0.9-1.2 (100) / thermal oxide i I0°c/ none U b-1/253 5 (111)/ thermal oxide l I0°C/ none 0.9-1.2/253 6 (100) / (111) 70°C / 70°C 0.5 / 0.6 23 Informacije MIDEM 30(2000)1, str. 20-31 D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Direct Bonding of (111 ) and (100) Oriented Silicon Wafers tion of 10 seconds. According to the findings of long et al., these mated samples were afterward stored for a period of 150 hours in inert ambient at room temperature to reach the saturated value of interface energy /5/. It is known that effective bond area and consequently overall bonding energy can be increased by applying external static pressure which increases the surface energy to a value defined by a number of available bonding sites /15/. By doing this we actually help to accommodate the two surfaces that suffer from nonflat-ness via elastic deformation process, as described by Maszara /30/. Higher pressure of 1.5 MPa was also applied to some samples, but no gain in bond quality was observed. After this prebonding procedure was completed, the bond was in all cases sufficiently strong to withstand the dicing of bonded wafers into smaller samples for providing further temperature dependent tests. 3.3 Bond annealing The final step in low temperature bonding is bond annealing (also termed strengthening), when transformation of silanol to strong siloxane bonds takes place at elevated temperature above approximately 130°C as will be shown in results. Bond strengthening was performed at different temperatures in the range from 80°C to 400°C, and in different ambients. Thermal treatments were performed in Tempress junior furnace in the case of nitrogen and oxygen atmosphere while for low vacuum at 10 Pa bond strengthening in vacuum furnace was performed with two stage vacuum pump and oil backstreaming trap to prevent contamination. The duration of this step was 60 minutes in all cases. This parameter was chosen according to the results of Ber-thold who found minor influence of prolonged bond strengthening on bonding energy /7/, This was confirmed also by Stengl /23/. In this temperature range further accommodation of two surfaces occurs via elastic deformations at microroughness scale. 3.4 Bond characterization methods Fig. 3 shows principally the measurements of tensile strength of bonded samples by pull test method /6/, while Fig.4 shows a setup for bond characterization by infrared transmission imaging for detecting voids and imperfections, which was applied also by others, but with modifications /20,21/. In our case IR camera model PTC-1 OA was used. These are two most commonly used criteria in the bond quality evaluation. Also X-ray bonded sample glue -steel studs Fig. 3: Tensile strength measurement principle for evaluation of bonded silicon samples. diffraction topography method, same as used for welding inspection was utilized for the purpose of void detection and resolution comparison. Another method used to reveal microvoids at the interface was cross-sectioning by dicing of bonded samples followed by short isotropic etch. For the purpose of tensile strength characterization 10x10 mm2 samples were prepared to fit the requirements of pull test apparatus. The samples were glued on steel studs by cyanoacrylate UHU-plus 300. The studs with samples were attached in Amsler 20kN pull tester and the tensile force of breakage was determined. To avoid inconsistent results ten samples of each process variation were tested. Ge lens f=1000mm Bonded SI wafers Fig. 4: Setup for bond characterization by IR transmission method applied in our work. 4. Results and discussion Parameters that mainly influence bond quality of bonded hydrophiiic silicon wafers are generally recognized as: i) surface cleanliness, ii) saturated surface hydrophilicity and iii) surface roughness and nonflat-ness. Surface cleanliness was controlled under UV inspection light and generally no particles were observed with naked eye. To evaluate the degree of hydrophilicity, water drop contact angle measuring method was used /26,28/. Immediately after surface preparation, shown in Table 1 measured angles were between 2° and 4°. Bond quality dependence on surface roughness origins, annealing ambient, wafer orientation and surface termination are discussed in the following subsections. 4.1. Surface roughness of bonded silicon To find a possible correlation between the silicon surface microroughness and bond quality we performed several roughness and microroughness measurements with Taylor-Hobson Tallysurf Series 2 surface profiler and Park Scientific Instruments atomic force microscope (AFM), respectively. Attention was paid not only to the initial roughness, but also to the roughness contribution from the cleaning method as well as role of surface roughness in bonding process. The theoretical model of Maszara, revealing the possible way of bonding two surfaces with nonideal flatness helped to gain better insight into the stresses present in the bonded wafers /30/. Matching of the two interfaces acts via elastic deformation of each wafer that are macroscopic in the case of nonflatness and micro- 24 D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Direct Bonding of (111) and (100) Oriented Silicon Wafers Informacije MIDEM 30(2000)1, str. 20-31 scopic in case of microroughness. Most deformation occurs at room temperature prebonding step. Wafer thickness plays also important role in stress release distribution and deformation. Thinner wafers would allow bonding of more rough surfaces via deformation processes. Stresses due to bonding are a combination of tensile, compressive and shear stress and are decaying from the interface to the bulk of the wafers. To allow intermolecular forces to be active, particularly hydrogen bonds in our case, mating surfaces have to be in a sufficient proximity, calculated to be below 1 nm /25/. That's why particularly wafer nonflatness at room temperature prebonding and microroughness at annealing temperatures, are important issue in wafer bonding. Closing the gap between the two bonding surfaces that is a consequence of nonflatness is possible if following criterion from the theory of deformations is fulfilled/17/. h IX Eq-1 r2 VEt3 where h is the height of asperities, r is the length of lateral distance between asperities, y is the interface energy (J/m2) E is the Young's modulus (1,66 1011 Pa for (100) silicon) and t is the thickness of the wafer. For values of h measured in our case (above 60nm) elastic deformations can accommodate two surfaces though even higher values have been reported /35/. Roughness measurements on our samples have shown that in the worst case we are dealing with three distinct orders of roughness on the same wafer, differing in amplitude and in spatial periodicity. - First order roughness (also termed nonflatness or waviness) after SC1 cleaning appeared with spatial periodicity of 50-70 pm and peak-to-peak values of 60nm. First order roughness as shown in Fig.5 and found only at vendor A was found to be the origin of Distance [pm] Fig. 5: Measured roughness profile of (100) wafer surface from vendor A. Second order waviness is normally observed but modulation with first order component with long period is significantly pronounced in this particular case. unsuccessful bonding of wafers, independently of surface preparation or bond strengthening conditions. Most of samples were broken during mounting in pull test apparatus while some showed inferior tensile bond strength of 0.1-0.3 MPa. Waviness is a consequence of the wafer dicing misalignment with respectto (100) plane and poor polishing procedure. - Measured second order roughness that appeared with all the wafers from vendor A and B had a spatial period of 3-4 pm and peak-to-peak values of 20-30 nm and is presented in Fig. 6. By comparing the results from Fig. 5. and Fig. 6. that are actually two different silicon wafer vendors being involved in our work, we found the same second order roughness, but the absence of first order roughness in case of vendor B. It was determined during experiments that both roughness components are insensitive to the cleaning procedure and are mainly a consequence of tribomechanical polishing. The spatial period is attributed to the size of Syton colloidal silica particles. Distance [pm] Fig. 6: Measured initial roughness profile of (100) surface from wafer supplier B, used in most of our experiments. Second order waviness is in the same range as in the previous diagram, but no first order roughness component is present. Distance [nm] Fig. 7: AFM 2D microroughness profile of (111) "as delivered" virgin wafers from vendor B before SC1 cleaning. 25 D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Informacije MIDEM 30(2000)1, str. 20-31 Direct Bonding of (111) and (100) Oriented Silicon Wafers Third order roughness (termed also microrough-ness) was determined by AFM measurements and is on the atomic scale. Initial microroughness of "as delivered" wafers was in the range of Ra=0.38-0.43 nm for the case of (111) wafers from vendors A and B. 2D surface roughness profile is shown in Fig. 7. Almost similar was observed as well for (100) oriented wafers. elastic deformations because of pronounced nonflat-ness for the wafer thickness involved. ims V' v „ I Fig. 9: Measured 3D microroughness profile of (100) wafer surface by AFM from vendor B r before modified SC1 cleaning on 50x50nm£ area. 20 30 Distance [nm] Fig. 8: AFM microroughness profile of (111) wafer surface from Fig. 7. after modified SCI cleaning. It was shown by Miyashita that according to different cleaning treatments, microroughness can increase by a factor of two or more /18/. By preserving the smoothness with diluting SC1 cleaning solution, we also decreased the particle removal efficiency. By analyzing the results of microroughness obtained by measurements with AFM and shown in Fig. 4-7 and the bond tensile strength results shown later, it was confirmed that the chosen cleaning was an appropriate trade off and roughening has not affected the bond quality. We investigated both, (100) and (111) surfaces and no significant difference in microroughness was observed between them. Microroughness of the same wafers as shown in Fig. 7 increased after modified SC1 cleaning and diluted HF dip to the values Ra=0.5-0.64 nm, corresponding to Fig. 8. In Fig. 9 and Fig.10 are presented 3D AFM plots, showing the surface profile of (100) wafers before and after cleaning, respectively. The microroughening was slightly more pronounced for (111) surface, but this could be also due to difference in initial microroughness. Surface area of 0,05x0,05 pm2 was analyzed showing minor differences in microroughness, ranging between Ra=0,089-0,16 nm. By considering the generally accepted polishing standards in microelectronics, we found out that they completely satisfy the requirements of wafer bonding. First order roughness from Fig. 5 was more an exception to the rule. On the basis of our experimental results we suggest that for successful bonding, waviness (first order roughness) about 60nm and spatial period of 50-60 pm was already critical in our case. Intermolecu-lar forces were probably not sufficiently strong to induce , a '* Fig. 10: Measured 3D microroughness profile of (100) wafer surface by AFM from vendor B after modified SC1 cleaning on 50x50nm2 area. 4.2. Annealing ambient Bond energy is most often determined by two methods, the interface energy determination by measuring the crack length induced by inserting a blade between bonded surfaces as introduced by Maszara/22/and by pull test of bonded wafers as it was performed in our case, due to lack of characterization equipment to perform the first one. The problem is that one can not determine directly the bonding energy with pull test method as in case of Maszara test, because there is no direct connection to tensile bond strength. The influence of bond strengthening temperature and ambient on final bond quality was investigated by performing pull tests on 10x10 mm2 samples. Bond tensile strength dependency versus temperature is presented in Fig. 11 for all three ambients. In the presented temperature range two distinct regions are indicative. A 26 D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Direct Bonding of (111) and (100) Oriented Silicon Wafers Informacije MIDEM 30(2000)1, str. 20-31 threshold temperature separating two regions is between 120°C-150°C, confirming the model of long. Below this temperature there is a region where successful bonding is not viable or it is in the range of only few tenths of MPa. Above this transition temperature the tensile strength only slightly increases and it saturates at the value around 10MPa, when the temperature approaches 400°C. (100) I (111) pairs Anneal time 60 min 50 200 250 300 350 400 450 Annealing temperature [°C] Fig. 11: Bond tensile strength measurements as a result of increasing bonding temperature and three distinct bonding amblents. Native oxide on all surfaces is grown in HNO3 at 110°C. From Fig. 11 the difference between ambients utilized during bond annealing steps is evident. Inert nitrogen is showing the most encouraging results in our set of experiments. The reason for this is probably the fact that nitrogen as inert gas does not enter in any interface bonding reaction, therefore it neither supports nor inhibits the bonding mechanism itself once the pairs are mated. Annealing in vacuum furnace in low vacuum range of 10Pa for 60 minutes did not strengthen the bond as it would be expected. These inferior results could be due to low vacuum range and low temperature, which possibly limitthe mobility and outdiffusion of interface products. In contrast to the results of Tong, we did not observe the increased bonding strength due to the reduction of nitrogen at bonding interface in low vacuum ambient /14/. The possible disagreement could be as well due to annealing time difference, which could play a significant role in vacuum bonding conditions due to slow desorption of water from interface region. In contrast to nitrogen, oxygen does enter the chemical reaction at the bonding interface as silicon oxidizing and binding species and can diffuse laterally through the interface, where it can also react with hydrogen to form additional water molecules. Oxygen plays a multiple role in bonding process as depicted in previous section. However, according to our results, shown in Fig. 11, oxygen ambient does not participate to bond strengthening as an additional outer parameter, as was proposed by Lasky /1/, but on the contrary, suppresses the beneficial interface reactions for the chosen conditions. By cross-sectioning the bond interface, higher density of microvoids were found, thus correlating with poor tensile strength. 4.3. Crystal orientation We focused more in detail into the fact that (100) and (111) oriented wafers have different surface termination, and thereby different number of available bonding sites according to steric hindrance /33/. (111) oriented silicon, with the most densely packed planes was expected to exhibit favorable bonding behavior with respect to (100) oriented wafers. However, for the purpose of wet micromachining, active wafer has to be (100) oriented. Some structures even require wet micromachining of support wafer and for this reason investigation on bonding of (100) to (100) oriented wafers was performed as well. Following these ideas, several bonding experiments by pairing (100) and (111) surfaces, terminated with native chemical oxide and/or with thermal oxide as shown in Table 2 were performed. The results of bond quality of these experiments are shown in Fig. 12-14. Fig. 12 shows the case of bonding equal orientations. It was noticed that bonded (111)/(111) wafers exhibited higher tensile strengths at lower temperatures (150°C) and then decreased, reaching the values of bonded (100)/(100) wafer pairs. The stronger bonding ability around 150°C could be possibly attributed to higher density of bonding sites on adjacent surfaces due to the fact that native oxide is grown more rapidly and uniformly on (111) surfaces already at low temperature. Enhanced growth rate is probably supported by dissociation of interfacial water, diffusion through native oxide and further oxidation of the nonhomogeneously oxidized surface, making the initial chemical oxide structure laterally more homogeneous. A strong decrease of bond tensile strength was observed at around KJ Q_ TO a> u. m _tt) '55 a> 0 50 100 150 200 250 300 350 400 450 Annealing temperature [°C] Fig. 12: Bond tensile strength measurements versus increasing bonding temperature for (100)/(100) and (111)1(111) pairs. Native oxide was grown on all bonded surfaces in HNO3 at 110°C. 27 D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Informacije MIDEM 30(2000)1, str. 20-31 Direct Bonding of (111) and (100) Oriented Silicon Wafers 250°C. Referring to Müller, the decrease is attributed to the void formation due to water release from the desorp-tion and from the polymerization /6/. Subsequently, gradual increasing of bond tensile strength with temperature was noted for both pairs, reaching strength of 12MPa at 400°C. Saturation of bonding energy was not observed in this temperature range as was previously the case in bonding (111 )/(100) pairs (Fig. 11). Interesting results were obtained from the experiments where 253nm of thermal oxide grown on (100) wafer was bonded to (100) and (111) wafers with standard native oxide as described in Table 2. Results of temperature dependence of tensile bond strength are presented in Fig. 13. It is noteworthy that substantial gain in tensile strength of Si02/(111) over Si02/(100) bonding pairs was achieved at low temperatures between 160°C-250°C. The peak value of 20MPa was reached at temperature 160°C. As in previous case with (111)/(111) pairs, a substantial drop was again observed in the region between 200°C-300°C for Si02/(111) pairs. With increased temperature strength levels off and reaches together with Si02/(100) an equilibrium value of 8-10 MPa at 400°C that is about 20% less than in the case of bonding only native oxides. The possible explanation is that interface reaction products such as water now diffuse exclusively through the native oxide network creating new bonding sites, while hydrogen is dissolved in thermal oxide and thus increasing the bonding energy. At temperatures around 250°C and higher interfacial water has limited diffusion due to thicker native oxide and possible voids are formed, followed by bond tensile strength drop. Fig. 14 presents bond tensile strength results depending on two different treatments in HNO3. Pairs have been treated for 15 min at 110°C and 70°C, respectively. There is evident difference between two HNO3 temperature treatments and related grown native oxides bonding behavior. Thicker and probably more homogeneous oxide was formed during higher temperature treatment. Latter promotes bonding more efficiently (almost doubles the tensile strength) via homogeneous distribution of bonding sites. CL cn c a> « aj 2. Pairs SiC>2/(111) reached bond tensile strength value as high as 20MPa at 150°C and later dropped to a value comparable to Si02/(100) pairs which was around 10 MPa. Possible reduction of voids is due to enhanced diffusion of hydrogen into thermal oxide. Roughness merely plays a role in bonding process if held within the limits of commercially available wafers. Increased microroughness caused by presented cleaning agents does not affect bonding at all. In most cases no voids were detected due to particles while hidro-philicity was sufficient. Cross-sectioning revealed microvoids, but no obvious correlation to tensile strength values were determined. 30 D. Resnik, D. Vrtačnik, U. Aljančič, S. Amon: Direct Bonding of (111) and (100) Oriented Silicon Wafers Informacije MIDEM 30(2000)1, str. 20-31 Acknowledgements We are indebted to Dr. P. Panjan and Mr. J. Fric from the Josef Stefan Institute for performing surface profile measurements with surface profiler and AFM, respectively and to Mr. J. Hozjan from Fotona company for infrared transmission images. We owe our thanks also to Mr. K. Požun and Mr. A. Pregelj from lEVTfor giving at our disposal vacuum furnace and to Dr. M. Klanjšek-Gunde from National Institute of Chemistry for valuable discussions. X-ray image was obtained with courtesy of Mr. N. Samsa from Institut za varilstvo, Ljubljana. 5. References /1/ J. Lasky, Wafer bonding for silicon-on-insulator technologies, Appl. Phys. Lett. 48 (1), 6 January 1986, 78-80 /2/ M. Shimbo, K. Furukawa, K. Fukuda, K. Tanzawa, Silicon-to-silicon direct bonding method, J. Appl. Phys. 60 (8), 15 October 1986, 2987-2989 /3/ L. Ristic, editor, Sensor technology and devices, Artech House, Boston, 1994. /4/ S.M. Sze,editor, Semiconductor sensors, John Wiley & sons, Inc., New York, 1994. /5/ Q.-Y. long, G. Cha. R. Gafiteanu, U. Gosele, Low temperature wafer direct bonding, J. of Microelectromech. Systems, Vol. 3, No. 1, March 1994, 29-35 /6/ B. Muller and A. Stoffel, Tensile strength characterization of low-temperature fusion- bonded wafers, J. Micromechanical Microengineering, 1, 1991,161-166 /7/ A. Berthold, M.J.Vellekop, IC compatible silicon wafer to wafer bonding, Sensors and Actuators, A60, 1997, 208-211 /8/ J. Jiao, D. Lu, B. Xiong, W. Wang, Low temperature silicon direct bonding and interface behaviors, Sensors and Actuators, A50, 1995, 117-120 /9/ G. Krauter, A. Schumacher, U. Gosele, Low temperature silicon direct bonding for application in micromechanics: bonding energies for different combinations of oxides, Sensors and Actuators, A 70, (1998), 271-275 /10/ H. Takagi, R. Maeda, T.R. Chung, T. Suga, Low temperature direct bonding of silicon dioxide by the surface activation method, Sensors and Actuators A70, (1998), 164-170 /11/ S.N. Farrens, J.R. Dekker, J.K. Smith, B.E, Roberds, Chemical free room temperature wafer to wafer bonding, J.EIectro-chem. Soc., Vol 142, No. 11, November 1995, 3949-3955 /12/ U. Gosele, H.Stenzel, T. Martini, J.Steinkirchner, D. Conrad, K. Scheerschmidt, Self-propagating room-temperature silicon wafer bonding in ultrahigh vacuum, Appl. Phys. Lett. 67 (24), 11 December 1995, 3614-3616 /13/ S.Bengtsson, O. Engstrom, Low-temperature preparation of silicon/silicon interfaces by the silicon-to-silicon direct bonding method, J.EIectrochem. Soc., Vol 137, No. 7, July 1990, 2297-2303 /14/ Q.-Y. Tong, W.J. Kim, T.-H. Lee, and U. Gosele, Low Vacuum Wafer Bonding, Electrochemical and Solid State Letters, Vol.1 (1998), 52-53 /15/ G. Kissinger and W. Kissinger, Void free silicon wafer bond strengthening in the 200~400°C range, Sensors and Actuators, A36. 1993, 149-156 /16/ K. Hermansson, F. Grey, S. Bengtsson, U. Sodervall, Ul-traciean Si/Si interface formation by surface preparation and direct bonding in ultrahigh vacuum, J.EIectrochem. Soc., Vol 145. No. 5, May 1998, 1645-1649 /17/ K. Mitani, V. Lehmann, R. Stengl, D. Feijoo, U.M. Gosele, H.Z. Massoud, Causes and prevention of temperature-dependent bubbles in silicon wafer bonding, Jap. Journal of Appl. Phys., Vol. 30, No 4, April, 1991, 615-622 /18/ M. Miyashita, T. Tusga, K. Makihara, T. Ohmi, Dependence of surface Microroughness of CZ, FZ, and EPI wafers on wet chemical processing, J.EIectrochem. Soc., Vol 139, No. 8, August 1992, 2133-2142 /19/ T. Hattori, K. Takase, H. Yamagishi, R. Sugino, Y. Nara, T. Ito, Chemical structures of native oxides formed during wet chemical treatments, Jap. Journal of Appl. Phys., Vol. 28, No. 2, February 1989, L296-L298 /20/ C. Harendt, B. Hofflinger, H.-G. Graf, E. Penteker. Silicon direct bonding for sensor application: Characterization of bond quality, Sensors and Actuators A, 25-27, (1991), 87-92 /21/ C. Harendt, H.-G. Graf. E. Penteker, B. Hofflinger, Wafer bonding: Investigation and in situ observation of the bond process, Sensors and Actuators A, 21-23, (1990), 927-930 /22/ W.P Maszara, G. Goetz, A. Cavigiia, J.B. Mc Kitterick. Bonding of silicon wafers for silicon-on-insulator. J.Appl.Phys. 64 (10). 15 November 1989, 4943-4950 /23/ R. Stengl, T Tan, U. Gosele, A model for the silicon wafer bonding process, Jap. Journal of Appl. Phys., Vol. 28. No. 10. October 1989, 1735-1741 /24/ T. Abe. T. Takei, A. Uchiyama, K. Yoshizawa, Y. Nakazato, Silicon wafer bonding mechanism for siiicon-on -insulator structures, Jap. Journal of Appl. Phys.. Vol. 29, No 12. Decern-ber, 1990, pp. L2311-L2314 /25/ Q.-Tong and U. Gosele, A Model of Low Temperature Wafer Bonding and Its Applications, Journal of Electrochemical Society, Vol. 143, No.5. May 1996, 1773-1779 /26/ G. Kissinger and W. Kissinger, Hydrophylicity of Silicon Wafers for Direct Bonding, Phys. Stat. Sol. (a) 123, 1991, 185-192 /27/ T. Aoyama, T. Yamazaki, T. Ito, Nonuniformities of native oxides on Si(001) surfaces formed during wet chemical cleaning, Appl. Phys. Lett. 61 (1), 6 July 1992, 102-104 /28/ Y. Backlund, K. Hermansson, L. Smith, Bond-Strength measurements related to silicon surface hydrophiiicity, J.EIectrochem. Soc., Vol 139, No. 8, August 1992, 2299-2301 /29/ M.K. Weldon, W.E. Marsico, Y.J. Chabal, D.R. Hamann, S.B. Christman, E.E. Chaban, Infrared spectroscopy as a probe of fundamental processes in microelectronics: silicon wafer cleaning and bonding, Surface Science 368, (1996), 163-178 /30/ W.P Maszara, B.-L. Jiang, A. Yamada, G.A Rozgony. H. Baumgart, A.J.R. de Kock, Roie of surface morphology in wafer bonding, J.Appl. Phys. 69 (1), 1, January 1991. 257-260 /31/ T.A. Michalske, B.C. Bunker, Slow fracture model based on strained silicate structures. J. Appl. Phys. 56 (10). 15 November 1984, 2686-2693 /32/ K. Mitani and U.M. Gosele, Wafer Bonding Technology for Silicon-on-lnsulator Applications: A Review, Journal of Electronic Materials, Vol. 21, No. 7, 1992, 669-676 /33/ F. Shimura, Semiconductor silicon crystal technology. Academic press, Inc., San Diego, 1989 /34/ A. Satoh, Water glass bonding, Sensors and Actuators A72. (1999), 160-168 /35/ A. Plossl, G. Krauter, Wafer direct bonding: tailoring adhesion between brittle materials, Mat. Sci. Engineering. R25, No. 1-2. March 1999, 1-88 mag. Drago Resnik, dipl.ing., mag. Danilo Vrtačnik, dipl. ing., mag. Uroš Aljančič, dipl.ing. and dr. Slavko Amon Laboratorij za Mikrosenzorske strukture, Fakulteta za Elektrotehniko, Ljubljana, Slovenia Laboratory of Microsensor Structures, Faculty of Electrical Engineering, Tržaška 25, Ljubljana 1000, SLOVENIA Tel. +386 61 1768 303, Fax. +386 61 1264 630, E-mail: Drago.Resnik@fe.uni-ij.si Prispelo (Arrived): 9.2.00 Sprejeto (Accepted): 29.2.00 31 Informacije MIDEM 30(2000)1, Ljubljana UDK621,3:(53 + 54+621 +66), ISSN0352-9045 ANALOG REGULATOR FOR ELECTROCHROMIC WINDOWS Janez Krč1, Marko Topic1, Franc Smole1, Urša Opara Krašovec2, Urška Lavrenčič Štangar2, Boris Orel2 1 Faculty of Electrical Engineering, University of Ljubljana, Slovenia 2National Institute of Chemistry, Ljubljana, Slovenia Keywords: chemistry, EC glasses, ElectroChromic glasses, EC windows, ElectroChromic windows, all sol-gel structures, colouring, analog three state regulators, optical transmittance, variable optical transmittance, bleaching Abstract: Optical transmittance of electrochromic (EC) windows can be varied by means of electrical signals, which enables a simple way to control the intensity of light transmitted through the window. For "smart" windows in buildings it is desired that the transmitted light is automatically kept on a certain level, regardless the changes in outdoors daylight illumination. Therefore we designed and built a prototype of analog three-state regulator, which automatically controls the optical transmittance of EC window - decreases, increases or keeps unchanged - maintaining the transmitted light intensity on a preselected value. In the paper, an electrical circuit and performances of the regulator are presented. Finally, demonstrational EC glasses controlled with handy battery-powered regulator are shown. Analogni regulator za elektrokromna stekla Ključne besede: kemija, EC stekla elektrokromna, EC okna elektrokromna, ali sol-gel strukture, obarvanje, regulatorji analogni tri-stanjski. prepustnost svetlobe, prepustnost svetlobe spremenljiva, razbarvanje Povzetek: Elektrokromna (EC) stekla omogočajo, da s pomočjo električnih signalov spreminjamo njihovo svetlobno prepustnost in s tem uravnavamo jakost prepuščene svetlobe. Ko se EC stekla uporabljajo kot "inteligentna" okna v zgradbah, je zaželeno, da se jakost prepuščene svetlobe avtomatsko ohranja na izbrani vrednosti, ne glede na zunanje spremembe v dnevni osvetlitvi. V ta namen smo načrtali in izdelali prototip tri-stanjskega regulatorja, ki avtomatsko krmili prepustnost EC okna - jo zmanjšuje, povečuje ali pa ohranja nespremenjeno - tako, da se jakost prepuščene svetlobe ohranja na izbrani prednastavljeni vrednosti. V članku sta prikazani električna shema in delovanje regulatorja. Na koncu so predstavljena demonstracijska EC očala, ki jih krmili prenosni baterijsko napajani regulator. 1. Introduction Electrically controllable optical transmittance of electro-chromic (EC) windows present an advantageous feature which can be beneficially used in different light applications. One of the most promising application are "smart" buildings' windows, which in contrastto simple glass windows enable a control over the intensity of daylight, transmitted through the window, by varying their optical transmittance. Thus, in the case of obscure and cloudy days high transmittance can assure that more daylight enters the building interior, which may lead to lower lightning and heating consumption, while in case of cloudless and sunny days low transmittance can prevent excessive solar illumination and decreases the heating of the inside space, resulting in lower cooling energy consumption. In other words, a constant level of daylight inside the building, regardless the outdoors illumination level is desirable in terms of energy saving as well as building occupants' comfort. Different processing methods and types of EC devices have been investigated /1-4/ in order to produce low cost EC windows "with good performances. Publications indicated that among several processing methods a sol-gel technique exhibits many advantages over other traditional techniques /3/, The performances of the EC devices produced with the sol-gel method are also very good, therefore we based our applications on "all sol-gel" EC devices /3,4/. Their structure and some performances are briefly presented in the paper. For automatic control of the EC device a prototype of analog three-state ECW (electrochromic window) regu- lator was developed. It provides three different voltage states: negative for window colouring (towards lower transmittance), positive for window bleaching (towards higher transmittance) and open-circuit state for keeping the transmittance of EC window unchanged. The desired level of transmitted light is adjustable with a potentiometer. In this work a detailed electrical schematic of the prototype and the results of regulation are given. Finally, a demonstrational application of EC devices -EC glasses - and corresponding handy battery-pow-ered regulator are presented. 2. "All Sol-gel" Electrochromic Device The crossection of a typical EC device is shown in Fig. 1. The basic structure - WO3 as an active layer, organically modified electrolyte (ormolyte) with Li+ ions as an ionic conductor and Lio.3CeV04 as a counter electrode - is to be found between two glass substrates covered with Sn02:F transparent conductive oxide (TCO). All three layers of the basic structure were processed by the sol-gel technique therefore the device is called "all sol-gel" EC device /3,4/. Applied voltage, U, is connected to the top and bottom TCO layers. Fig. 1 shows a situation when the value of U is negative (positive potential at top and negative potential at bottom TCO layer). In this case Li+ ions are inserted from ionic conductor to WO3 layer. Higher concentration of Li + ions in WO3 layer results in higher colouration of the WO3 film (it becomes blue) decreasing its optical transmittance. The structure remains in coloured state, even if the voltage supply is discon- 32 J. Krč, M. Topič, F. Smoie, U. Opara Krašovec et al.: Analog Regulator for Electrochromic Windows_Informacije MIDEM 30(2000) 1, str. 32-36 nected (memory effect) because the Li+ Ionic conductor has low electronic conductivity (~10~9 S/cm). When the positive polarity of U is applied, Li+ ions are extracted from WO3 layer and transported through the ionic conductor and become inserted into the counter electrode. Since WO3 layer does not contain Li+ ions anymore, blue colour typical for tungsten bronze (MetalxW03) is lost (bleaching effect) and becomes transparent layer. U + Fig. 1: Structure of the EC window The variation of the transmittance of EC device between coloured and bleached state when applied voltage is changed from -2 V to +2 V is shown in Fig. 2. Higher applied voltage potentials would lead to larger difference between coloured and bleached state, but should be avoided because too high intercalation levels destroy the basic structure and consequently worsen the device performance. Another limitation which affects the device durability /5/ is electrical current, I. Higher electrical current, supplied from the regulator, results in higher colouring/bleaching speed rate, but it also detrimentally influences the device stability. Therefore, for a particular EC window the optimal voltage level and current limitation should be pre-determined. For our "all sol-gel" EC devices of size 3x3 cm2 the corresponding values were found to be U = ± 2 V and Imax =±15 mA, respectively. 300 400 500 600 700 Wavelength, X (nm) Fig. 2: Transmittances of the EC window in coloured and bleached state 800 3. ECW Regulator The primary task of our prototype ECW regulator is to automatically control the transmittance of EC window to keep the transmitted light intensity on a constant preselected level during the variation of the intensity of incident light (Jph incident). Fig. 3shematicallyshowsthe complete EC system: EC device and regulator with a photodiode for detection of transmitted light intensity. 1 J ^ ph incident EC window // i j, I ph irummitled photodiode desired value of 7. ph transmitted ECW regulator Fig. 3: Schematic view of EC system To assure simple but efficient control over the EC window colouring/bleaching changes, we designed a prototype of three-state regulator, which consists of customary analog electronics components (resistors, potentiometers, capacitors, transistors, operational amplifiers). The output signal can assume three different voltage states: negative (U = -2 V, Imax = -15 mA) for colouring, positive (U = +2 V, Imax = +15 mA) for bleaching and open-circuit state (I = 0 mA) for stand-by position. Negative state is activated when the intensity of transmitted light exceeds the preselected value (colouring requirement), while positive state is applied in the case of too low intensity of light (bleaching requirement). When the intensity matches the preselected value, the open-circuit state appears. To avoid the continuous switching from bleaching to colouring or vice versa, which may occur if the transmitted light slightly deviates around the preselected value, we implemented a hysteresis circuits in the regulator. Therefore after the particular preselected value Jph transmitted has been achieved the minor fluctuations of the light intensity do not affect the regulator's output if relative deviations of transmitted light (AJph transmitted /jph transmitted) are smaller than the negative (-5 %) or positive (+5 %) hysteresis gap (Fig. 4). Fig. 5 shows electrical circuit of the regulator. The basic sub-circuits are: photodiode, the circuit for adjusting the desired value of Jph transmitted, error circuit, low-pass RC filter, an amplifier with adjustable gain, hysteresis circuit, time limitation circuit and an output actuator. 33 Informacije MIDEM 30(2000)1, str. 32-36 J. Krč, M. Topič, F. Smole. U. Opara Krašovec et al.: Analog Regulator for Electrochromic Windows ^measured Jph m„,„„/m<; \ positive prcselectedX^ /CX .........1, hysteresis gap 7 " \ T negative ' /i/, „ii'iw; V'.v/ \ , ■ _\ v hysteresis gap negative U \ open circuit i-- (colouring) I I Positive U (bleaching) Fig. 4: Hysteresis behaviour of the regulator Photodiode provides electrical current, lm, which is linearly dependent on measured Jph transmitted. Im is connected to the same node as ladj, which can be varied by potentiometer Pia in the circuit for adjusting the desired value of JPh transmitted, thus the difference between measured (lm) and desired (ladj) Jph transmitted can be established. The error circuits transforms lm -ladj to voltage error signal, Uerror. If the current difference lm - ladj is positive, negative or zero the error voltage Uerror is higher, lower or equal to Vcc/2, respectively. To smoothen the undesirable sudden short-term fluctuations of Jph transmitted, voltage, Uerror is smoothened by low-pass RC filter. Thus smoothening effect is necessary in EC devices with faster colouring/bleaching transition times (e.g. gasochromic EC devices /6,7/). The smoothened signal is then amplified by IC2A. The gain of this amplifier is controlled by potentiometer Pib, which is rotated simultaneously with potentiometer Pi a (for adjusting the desired JPh transmitted), therefore the gain is light intensity dependent. In case of low Jph transmitted the gain is set to high value, while at higher Jph transmitted amplification is attenuated. This results in relative error signal, Uerror rei, which means that e.g. 1% deviation between measured and prescaled Jph transmitted cause the same Uerror rei regardless the absolute value. Such relative form of the error signal is more appropriate for regulation, because it shows significance of the error with regard to the value of primary signal. Uerror rei is connected to the positive (ICib, R6, R7, D1) and negative (ICic, Rs, R9, D2) part of the hysteresis circuit. In case that Uerror rei exceeds the margin of positive (negative) hysteresis - too high (low) value of measured Jph transmitted -, the output of IC-ib (ICic) becomes low (high) indicating colouring (bleaching) request. If zero error is achieved (Uerror rei = 0) and afterwards Uerror rei is found to be inside the hysteresis margins, the open-circuit state is activated keeping the transmittance of EC window unchanged. Time limitation circuits restricts the duration of the active output signal (negative and positive voltage state) to the time period which is required for transition of EC device from one saturated state (full coloured state) to the other one (full bleached state). The application of the active output signal longer than the transition time period would increase power consumption but does not affect the transmittance of EC device, therefore the limitation is justified. In the circuit, maximum time duration of positive and negative output voltage is determined by (R10 + Ri2)C3 and (Rn + Ri3)C4, respectively. In the case of low, 0 V, (high, Vcc) output level of ICib (ICic), the capacitor C3 (C4) starts charging through resistors R10 + R12 (R11 + R13), respectively. As long as the adjusting the desired value of Jph,„mm„lai error circuit RC filter amplifier hysteresis time limitation actuator Fig. 5: Electrical circuit of the regulator 34 J. Krč, M. Topic, F. Smole, U. Opara Krašovec et al. Analog Regulator for Electrochromic Windows Informacije MIDEM 30(2000)1, str. 32-36 voltage of the activated capacitor does not exceed the treshold voltage, determined by Ru, R15 and R16, the active output signal is applied to the EC device. High output level of IC2D and the low of ICic cause colouring (LED1 lights), while low output of IC2D and the high output of ICic activate bleaching effect (LED2 lights). In case of open-circuit state, both outputs are low. The final actuator consists of four NPN transistors and two trimmer potentiometers for adjusting output current limitation. Voltage level of the active output signal is determined by Vcc potential. High output level of IC2D opens transistors T1 and T4, which applies negative voltage state on EC device (colouring), while positive signal of ICic activates T3 and T2 resulting in positive voltage applied to the EC device (bleaching). To control larger EC windows, which exhibit slightly different electrical characteristics than our EC samples (3x3 cm2), some changes in actuator and power supply of our prototype regulator would be necessary, but the principle of the regulation and the basic regulator's structure would remain the same. 4. Results Fig. 6 shows the results of regulation. We applied a square pulse of incident light, AJph incident, to the EC system, by switching on and off a light source (a 10 W bulb positioned at a distance of 10 cm in front of EC device). The incident Jph incident and transmitted light, Jph transmitted, were detected with two additional reference photodetectors. The output signals of the regulator, U and I, as well as photodetectors' electrical outputs were measured by digital oscilloscope HP 54601B. The measurements show that sudden increase of Jph incident, results in sudden increase of Jph transmitted, which is determined by multiplication product between AJph incident and initial transmittance, Tj, of EC window. Afterthe increase of Jph transmitted, the regulator applies negative voltage (- 2 V) to the EC device, which activates colouring effect resulting in exponential decay of Jph transmitted- The output current, I, rapidly increases toward limitation of -15 mA when the switching occurs, afterwards it decreases significantly. The negative voltage is applied until Jph transmitted approximately reaches the preselected value Jph adj. Then the open-circuit state is activated until the pulse AJph is not finished. After the back front of the pulse EC window is found to be in coloured state, therefore JPh transmitted is too low. This activates the positive output state (+ 2 V) of the regulator, which causes bleaching effect and consequent exponential increasing of Jph transmitted towards Jph adj. Measurements show that positive voltage (+2 V) is switched off a little bit earlier before the exact value of Jph transmitted is achieved. This deviation occurs because the photodiode of the regulator and the photode-tector for independent measurement of JPh transmitted were not mounted exactly at the same position of the EC device. Thus, small non-homogenity in illumination level of the light source as well as slight non-homogenity of the layers of the EC device cause small spatial deviations in JPh transmitted- Considering an average value of Jph transmitted over the whole device area, measured by many dislocated photodiodes, would decrease the effects of non-homogenity and render more precise regulation. Time (s) Fig. 6: Results of the regulation Fig. 7: EC glasses and handy ECW regulator For demonstrational purposes we made glasses with one EC lens and one customary glass lens, for comparison between transmittances. To control the EC lens, a handy battery powered regulator was developed. Fig. 7 shows the regulator and glasses with EC lens in coloured state. The miniature photodiode for measuring the transmitted light was mounted directly on the back side of the EC lens, nearby the frame, so that the visual field is insignificantly restricted. 35 Informacije MIDEM 30(2000)1, str. 32-36 Krč, M. Topič, F. Smole, U. Opara Krašovec et al.: Analog Regulator for Electrochromic Windows 6. CONCLUSION A prototype of three-state regulator for controlling "all-sol gel" EC devices was developed. It automatically controls the transmittance of EC device assuring the intensity of transmitted light on a constant preselected value. Such regulation is required in different applications with EC devices such as "smart" EC windows and demonstrational EC glasses. REFERENCES /1/ C. G. Granqvist, "Handbook of inorganic electrochemical materials", Elsevier, Amsterdam, (1995). /2/ B. Orel, "Sodobne zasteklitve: Visoko izolirna in inteligentna ("smart") okna, Novel highly insulating and switchabie "smart" windows for buildings", Vakuumist, 16, str. 4-7, (1996). /3/ B. Orel, A. Surca, U. Opara Krasovec, "Recent progress in sol-gel derived electrochromic devices", Acta Chim. Slov., 45(4), pp. 487-506, (1998). /4/ B. Orel, U. Opara Krasovec, U. Lavrencic Stangar, "All Sol-Gel Electrochromic Devices with Li+ Ionic Conductor, WO3 Electrochromic Films and SnC>2 Counter-Electrode Films", Journal of Sol-Gel Science and Technology 11, pp. 87-104 (1998). /5/ A. W. Czanderna, D. K. Benson, G. J. Jorgenson, J. G. Zhang, C. E. Tracy, S. K. Deb, " Durability issuies and service liftime prediction of electrochromic windows for building applications", Sol. Energy Mat. & Sol Cells, 56, pp. 419-436 (1999). 161 A. Georg. W. Graf, D. Schweiger, V. Wittwer, P. Nitz, H. Rose Wilson, "Switchabie Glazing with Large Dynamic Range in Total Solar Energy Transmittance (TSET)", Solar Energy 62, pp. 215-228, (1998). /7/ B. Orel, U. Opara Krasovec, N. Groselj, M. Kosec, G. Drazic, R. Reisfeld, "Gasochromic behaviour of sol-gel derived Pd doped peroxopolytungstic acid (W-PTA) nano-composite films", J. Sol-Gel Sci. Techn., 14, pp. 291-308, (1999). Asst. Janez Krč, B. Sc Faculty of Electrical Engineering University of Ljubljana Tržaška 25, S1-1000 Ljubljana SLOVENIA tel.: 386 (0)61 1768 321 fax: 386 (0)61 1264 630 e-mail: Janez.Krc@fe. uni-lj.si Asst. Prof. Dr. Marko Topic Faculty of Electrical Engineering University of Ljubljana Tržaška 25, SI-1000 Ljubljana SLOVENIA tel.: 386 (0)61 1768 470 fax: 386 (0)61 1264 630 e-mail: Marko. Topic@fe. uni-lj.si Prof. Dr. Franc Smole Faculty of Electrical Engineering University of Ljubljana Tržaška 25, SI-1000 Ljubljana SLOVENIA tel.: 386 (0)61 1768 330 fax: 386 (0)61 1264 630 e-mail: Franc. Smole@fe.uni-lj.si Dr. Urša Opara Krašovec National Institute of Chemistry Hajdrihova 19, SI-1001 Ljubljana SLOVENIA tel.: 386 (0)61 1760 290 fax.: 386 (0)61 1259 244 e-mail: Ursa.Opara@ki.si Dr. Urška Lavrenčič Štangar National Institute of Chemistry Hajdrihova 19, SI-1001 Ljubljana SLOVENIA tel.: 386 (0)61 1760200 fax.: 386 (0)61 1259 244 e-mail: Urska.Lavrencic@ki.si Prof. Dr. Boris Orel National Institute of Chemistry Hajdrihova 19, SI-1001 Ljubljana SLOVENIA fax.: 386 (0)61 1259 244 tel.: 386 (0)61 1760 200 e-mail: Boris. Orei@ki.si Prispelo (Arrived): 24.12.99 Sprejeto (Accepted): 19.2.00 36 Informacije MIDEM 30(2000)1, Ljubljana NEVARNOST KAOSA V DIGITALNEM SITU DRUGEGA REDA Matej Šalamon, Tomaž Dogša Univerza v Mariboru, Fakulteta za elektrotehniko računalništvo in informatiko, Maribor, Slovenija Ključne besede: sistemi digitalni, filtri električni, filtri digitalni, kaos, aritmetika komplementa dvojiškega, hiperobčutljivost na pogoje začetne, odpoved sistema, vezja mikroelektronska, filtri reda drugega, modeli linearni, modeli nelinearni, strukture 16-bit Povzetek: Nekateri digitalni sistemi se lahko v določenih razmerah začnejo obnašati kaotično, kar lahko privede do začasne odpovedi sistema. V skupino kaotično potencialnih vezij spadajo tudi digitalna sita, ki so sestavni del mnogih mikroelektronskih vezij. V prispevku obravnavamo nevarnost kaotičnega obnašanja digitalnega sita drugega reda. Z računalniško simulacijo (programski paket Matlab) smo analizirali obnašanje linearnega in 16-bitnega nelinearnega modela. Ugotovili smo, da se linearni model nikakor ne more obnašati kaotično. Nujna, vendar ne edina pogoja za kaotičnost sta: 1. struktura sita mora biti vsaj 16-bitna, 2. na vhodu ni prisoten noben signal. Kaotičnost je pogojena tudi z ustreznim izborom začetnih stanj in vrednosti koeficientov, ki zagotavljajo, da sito deluje na robu stabilnega območja. Kaotično digitalno sito je možno tudi koristno uporabiti kot generator psevdokaotičnih sekvenc, ki ga potrebujemo pri razvoju čipov na področju kriptografskih sistemov. Danger of Chaos in a Second-order Digital Filter Keywords: digital systems, electrical filters, digital filters, chaos, binary complement arithmetic, sensitive dependence on initial conditions, system failure, microelectronic circuits, second-order filters, linear models, non-linear models, 16-bit structures Abstract: Under certain circumstances some digital systems can exhibit chaotic behaviour resulting in a temporary system failure. Digital filters are very often building blocks of microelectronic circuits. They belong to the group of potentially chaotic circuits. In this paper we discuss the danger of chaos in a second-order digital filter. With the computer simulation program (Matlab) we analysed the behaviour of linear and non-linear 16-bit model. We found that the chaotic behaviour Is Impossible in the linear model. In order to exhibit chaotic behaviour, a digital filter has to be at least of 16-bit structure and no signals must be present on input, and filter's coefficients must guarantee that filter operates on the boundary of the stable region. The chaotic digital filter can be used as a generator of chaotic sequences that are needed in the cryptographic systems. 1. Uvod Kaotične sisteme srečujemo na različnih znanstvenih področjih kot so: matematika, fizika, astronomija, biologija, medicina, meteorologija itd. Ti sistemi imajo več skupnih značilnosti kot so npr. determiniranost, hiperobčutljivost na začetne pogoje, disipativnost, bifur-kacije, mehanizem raztezanja in zvijanja ter kaotični atraktorji /1/. Ker je kaotično obnašanje sistema povezano z ozkim in težko napovedljivim območjem raznih sistemskih parametrov, lahko kaos postane v določenih primerih nepredvidljiv. Za vezje, ki je implementirano v integrirani izvedbi, lahko pomeni naknadno ugotovljena kaotičnost, dodatne stroške. V zadnjih dvajsetih letih je bilo odkritih nekaj kaotičnih elektronskih vezij. Večinoma gre za preprosta nelinearna vezja, kot je npr. kaotični oscilator (avtonomni zvezni sistem tretjega reda), ki ga je leta 1983 odkril Leon O. Chua /2,3/. Kaos se lahko pojavlja tudi v digitalnih elektronskih sistemih kot je npr. digitalno sito drugega reda /4/. Digitalna sita se zelo pogosto pojavljajo kot sestavni del mikroelektronskih vezij za obdelavo podatkov v realnem času. Obnašanje digitalnega sita lahko postane ob določenih pogojih nepredvidljivo, kar lahko vodi do odpovedi celotnega sistema. Takšno nezaželjeno kaotičnost uvrščamo v kategorijo logičnih (načrtovalskih) napak, ki naj bi jih odpravili še pred implementacijo mikroelektronskega vezja. Možnost morebitnega kaotičnega obnašanja lahko ugotovimo s podrobno analizo digitalnega sita. Ker je tovrstna analiza zelo zahtevna, je simulator edino orodje, ki ga ima načrtovalec na razpolago. Namen tega prispevka je v osvetlitvi problema kao-tičnosti, ki lahko nastopa pri digitalnih sitih. Prvo poglavje opisuje analizo linearnega modela digitalnega sita, katere rezultati potrjujejo znano dejstvo /2/, da kaos v popolnoma linearnih sistemih ni mogoč. Ker so konkretna digitalna sita samo v določenem območju linearna, je potrebno ugotoviti, ali se lahko obnašajo kaotično. Ta problem je prikazan v naslednjem poglavju, ki obravnava analizo 16-bitnega nelinearnega modela digitalnega sita, ki se ob ustreznem izboru koeficientov ter začetnih pogojev, obnaša kaotično. V zadnjem poglavju govorimo o vplivu oziroma posledicah omejenosti dolžine besed na obnašanje realnega digitalnega sita. Ugotovili smo, da o kaotičnosti digitalnega sita lahko govorimo le, če je struktura vsaj 16-bitna. 2. Analiza linearnega modela digitalnega sita drugega reda Digitalni sistem drugega reda lahko obravnavamo kot osnovni blok kaskadne ali paralelne strukture poljubnih digitalnih sit /5/. Obravnavali bomo digitalno sito drugega reda v t.i. direktni obliki (slika 1a). Na vhodu naj ne bo prisotnega vhodnega signala. Sistem je linearen, zato ga lahko v prostoru stanj opišemo s pomočjo naslednje matrične enačbe: x,(n + 1)" x2(n) "0 f xiin)~ x2(n + 1) b • x^n) + a ■ x2(n) b a j _x2(n)_ (1) 37 Informacije MIDEM 30(2000)1, str. 37-42 M. Šalamon, T. Dogša: Nevarnost kaosa v digitalnem situ drugega reda a) b) Slika 1: a) linearni model digitalnega sita drugega reda; b) območje stabilnosti digitalnega sita Dokazano je /4/, da je ravnotežno stanje x = [xi, X2[ diskretnega sistema, opisanega z enačbo 1 asimp-totično stabilno, če se vrednosti koeficientov a in b nahajajo znotraj trikotnega območja v ravnini a-b, prikazani na sliki 1b. Zelo zanimivo pa je obnašanje sistema na meji, tj. na spodnjem robu trikotnega območja, kjer je b = -1 in |a|< 2. Na robu stabilnega območja sistem opišemo z enačbo: x(n +1): ~0 1 ~xi(n) -1 aJ x2(n)^ : A-x(n) (2) S pomočjo matematične analize, opisane v/4/, pridemo do zaključka, da je obnašanje obravnavanega sistema okarakterizirano z družino koncentričnih elips s 45°. naklonom. Vsaka trajektorija poteka po elipsi Q(p) (slika 2) s premeroma: Pi = p ■ Vl + cos0 (3) in Pa - p■v 1 — cos9 (4) pri čemer je p vrednost, odvisna od začetnega stanja x(0) in jo izračunamo po enačbi 5,9 = 2nr pa kot, ki je odvisen od števila r. 1.5 0.5 X, o -0.5 -1.5 -1.5 h - -1. u - 0..J. -t;(0) « 0.616, = -0.616 .......;•:'* / / / / ........./ / / \ v y y "/A- M -0.5 0 X2 0.5 1.5 Slika 2: Trajektorija linearnega diskretnega sistema drugega reda |xi(n)| 1, smo določiti interval, znotraj katerega se v tem primeru smeta spreminjati začetni stanji xi (0) in X2(0). Ob upoštevanju enačbe 5, vrednosti koeficienta a = 0.5 in enakosti xi (0) = -X2(0) = xo, smo prišli do zaključka, da mora biti xo > 1/2 ■ (2-a)1/2 = 0.612372435695... (12) Na sliki 1a oba seštevalnika združimo in upoštevamo nelinearnost aritmetike dvojiškega komplementa, dobimo nelinearni model digitalnega sita, ki ga prikazuje slika 4. Če na vhodu sita signal ni prisoten, lahko nelinearni model opišemo z naslednjo nelinearno diferenčno enačbo x1(n + 1) x2(n + 1) x2(n) f(b-x1(n) + ax2(n)) (8) kjer je f(*) funkcijska odvisnost, prikazana na sliki 3. Za začetna pogoja xi(0) = X2(0) naj velja, da sta iz množice: i : -1 < x1 < 1, - 1 < x2 < 1 (9) Ker se bomo v nadaljevanju, podobno kot pri linearnem modelu, osredotočili na obnašanje nelinearnega modela na meji stabilnosti, tj. pri b = -1 in |a| < 2, zapi-šimo ustrezno diferenčno enačbo: x(n +1) = x1(n + 1) x2(n +1) x2(n) f(-1- x1(n) + a- x2(n) :F[x(n)] (10) Kakor hitro bo torej x0 > 0.612372435695, bosta začetna pogoja ležala izven območja I lo, kar pomeni, da bo pri operaciji seštevanja prihajalo do prekoračitev. Oglejmo si podrobneje analizo 16-bitne strukture digitalnega sita (slika 5), ki smo jo izvedli s programom Simulink. Koeficienta a in b smo izbrali tako, daje sistem deloval na robu stabilnosti, kar pomeni, da smo izbrali že omenjeni vrednosti: a = 0.5, b = -1. Z namenom, da bi opazovali gibanje trajektorije pri različnih začetnih pogojih xo = x2(0) = -xi(0), smo xo spreminjali na intervalu med 0 in 1. Dobili smo rezultate, na osnovi katerih smo trajektorije razvrstili v tri različne skupine, in sicer: • Tip I: trajektorija poteka po elipsi. • Tip II: trajektorija potuje periodično po končnem številu elips. • Tip III: trajektorija poteka po neskončno mnogo elipsah in pri tem izkazuje kaotično obnašanje ter fraktalno geometrijo. Pri izboru začetnih pogojev na intervalu 0 < xo < 0.61232 smo dobili pričakovano trajektorijo tipa I (slika 6a), saj velja, da je xo e I lo- Razlog za nekoliko nižjo zgornjo mejo intervala, ki bi morala biti po analitičnem izračunu 0.612372435695, je 16-bitna omejenost binarnega zapisa števil. S prekoračitvijo vrednosti začetnega pogoja xo > 0.61232 postane obnašanje sistema zelo nenavadno. Pri začetnem pogoju xo = 0.615 se pojavi kaotična trajektorija - trajektorija tipa III, ki jo prikazuje slika 6b. Ta trajektorija poteka po 39 Informacije MIDEM 30(2000)1, str. 37-42 M. Šalamon, T. Dogša: Nevarnost kaosa v digitalnem situ drugega reda mnogih elipsah, ki tvorijo fraktalne vzorce. Med njimi obstaja samopodobnost preko vseh meril, kar je značilnost fraktalnih podob. Če vrednost začetnega pogoja še povečamo, lahko pri xo = 0.6634 opazimo, da se gibanje trajektorije nekako umiri oziroma omeji na gibanje po 10 različnih elipsah (slika 6c). Ker je takšno gibanje trajektorije periodično, mu pravimo perioda 10, sicer pa velja, da številka periode označuje število elips, po katerih se trajektorija giblje. a=0 5, b—1 ^=0 61, št i!erac,j=10000 /loor(u*2^J 5)/2*l 5 o- -►CD Slika 5: 16-bitna struktura digitalnega sita, uporabljena pri računalniški simulaciji s programom Simulink Spreminjanje začetnih pogojev in posledične spremembe trajektorij lahko strnemo v tabeli 1. Označba "spremenljiv" pomeni, da gre za trajektorijo tipa II ali trajektorijo tipa III. Vsak interval z omenjeno oznako bi lahko razdelili še naprej v manjše intervale, znotraj katerih bi se pojavljale trajektorije tipa II, in intervale z oznako "spremenljiv". Tabela 1: Tip trajektorije glede na izbran začetni pogoj xo = x2 (0) = -X1 (0) Začetni pogoj Tip trajektorije 0«» . *> , ♦ . j. JUj^J.,__i^^iCIL^j_1___±-¿1 0 8 -0.6 -C 4 -0 2 0 0 2 0.4 0 6 0.8 1 " : " ' 'rai'«»¡isitiv-Ifl^i ' a) a-0.5;.:b--t; x2=-xi=0.615;'št, itetacij=10000Q b) Slika 8: Trajektorija a) 8-bitne strukture; b) 16-bitne strukture Ker je osnovni gradnik mnogih mikroelektronskih vezij lahko tudi digitalno sito drugega reda, smo se odločili za njegovo podrobnejšo analizo. S pomočjo programskega paketa Matlab smo ugotovili, da v nelinearnem sistemu drugega reda obstaja nevarnost kaosa, če je vhodni signal ničeln in, če sistem ob primerno izbranih začetnih pogojih, deluje na robu stabilnega območja. Trajektorije, ki smo jih dobili pri analizi nelinearnega modela smo razvrstili v tri skupine: nekaotične trajektorije tipa I in II ter kaotične trajektorije tipa III, ki izražajo zanimivo fraktalno podobo, sestavljeno iz različno velikih elips. Opazovali smo tudi kaotične sekvence spremenljivke stanja in ugotovili, da lahko minimalna sprememba v začetnih stanjih povzroči povsem različni kaotični sekvenci, kar kaže na hiperobčutljivost obravnavanega sistema oziroma na znan metuljev efekt. Vsak kaotični sistem ima teoretično neskončno mnogo različnih stanj, kar pri praktični realizaciji kaotičnega digitalnega sita ne moremo doseči, saj je digitalna struktura zmeraj omejena s končno dolžino binarne besede. Rezultati simulacij so pokazali, da je kaotičnost pogojena tudi z zadostnim številom bitov oziroma dovolj dolgim binarnim zapisom števil. Digitalno sito drugega reda bo lahko kaotično le, če bo njegova struktura vsaj 16-bitna /6/. Analiza digitalnega sita drugega reda nas je privedla do ideje, da bi lahko tovrstno hiperobčutljivo strukturo koristno uporabili kot generator tokovnega ključa, pn razvoju čipov na področju kriptografskih sistemov ITI. 6. Literatura /1/ J. Poljak: Uvod u analizu vremenskih nizova proizašlih iz kaotičnih sustava. Zavod za elektroniki!, mikroelektroniku. ra-čunalne i inteligentne sustave. Fakultet elektrotehnike i raču-narstva Zagreb: http://largo.zemris.fer.hr/ pol/chaos/svojs tva.html, september 1999. /2/ M. P. Kennedy: Tree Stepsto Chaos - Part II: A Chua's Circuit Primer, IEEE Transactions on Circuits and systems-l: Fondamental theory and applications, vol, 40, št. 10, oktober 1993 str. 657 -674. /3/ Matej Šalamon, Tomaž Dogša: Analiza kaosa v Chujevem oscilatorju, Elektrotehniški vestnik. vol. 62, št. 1, leto 1995. str, 50-58. /4/ Leon O, Chua, Tao Un: Chaos in Digital Filters, IEEE Transactions on Circuits and systems. vol, 35, št. 6. junij 1988, str. 648-658, /5/ Leland B. Jackson: Digital Filters and Signal Processing, University of Rhocle Islancl, 1989. /6/ T. Lin, L. O. Chua: On Chaos of Digital Filters in the Real World, IEEE Transactions on Circuits and systems, vol, 38. št. 5, maj 1991. /7/ Matej Šalamon: Uporabnost kaotičnega digitalnega sita v kriptografskih sistemih, Magistrsko delo, Fakulteta za elektrotehniko, računalništvo in informatiko, Maribor, nov, 1999. mag. Matej Šalamon, doc. dr. Tomaž Dogša oba Univerza v Mariboru Fakulteta za elektrotehniko, računalništvo in informatiko Smetanova 17, 2000 Maribor Slovenija Prispelo (Arrived): 18.2.00 Sprejeto (Accepted): 29.2.00 42 Informacije MIDEM 30(2000)1, Ljubljana 36th INTERNATIONAL CONFERENCE ON MICROELECTRONICS, DEVICES AND MATERIALS and the WORKSHOP on ANALYTICAL METHODS IN MICROELECTRONICS AND ELECTRONIC MATERIALS CONFERENCE 2000 ® Slovenia Chapter Announcement and Call for Papers October 18. - 20. 2000 Postojna, SLOVENIA $ Elektrotehniška Zveza Slovenije Slovenia Section IEEE 43 Informacije MIDEM 30(2000)1, Ljubljana ORGANIZER MIDEM - Society for Microelectronics, Electronic Components and Materials Dunajska 10, 1000 Ljubljana, SLOVENIA CONFERENCE SPONSORS - Ministry of Science and Technology, Republic of Slovenia - IMAPS, Slovenia Chapter - IEEE, Slovenia Section CONFERENCE PROGRAMME COMMITTEE • Marko Hrovat, Jožef Stefan Institute, Ljubljana, Slovenia, chairperson • Marija Kosec, Jožef Stefan Institute, Ljubljana, Slovenia, cochairperson ' Slavko Amon, Faculty of Electrical Engineering, Ljubljana, Slovenia • Lojze Trontelj, Faculty of Electrical Engineering, Ljubljana, Slovenia 8 Dejan Križaj, Faculty of Electrical Engineering, Ljubljana, Slovenia 8 Cor Claeys, IMEC and KU Leuven, Belgium 8 Gerhard W.Herzog, Technlsche Universitaet, Graz, Austria 8 Bruno Cvikl, Faculty of Civil Engineering, Maribor, Slovenia 8 Miloš Komac, Ministry of Science and Technology, Republic of Slovenia 8 Wilhelm Kusian, SIEMENS Corporate R& D Department, Muenchen, Germany 8 Peter Panjan, Jožef Stefan Institute, Ljubljana, Slovenia 8 Stane Pejovnik, Faculty for Chemistry and Chemical Technology, Ljubljana, Slovenia 8 Wolfgang Pribyl, Austria Mikro Systeme Intl.AG, Graz, Austria 8 Nava Setter, Ecole Polytechnique Federal de Lausanne, Lausanne, Switzerland 8 Giovanni Soncini, University of Trento, Trento, Italy 8 Giorgio Pignatel, University of Trento, Trento, Italy 8 Iztok Šorli, MIKROIKS d.o.o., Ljubljana, Slovenia 8 Jiri Toušek, Charles University, Prague, Czech Republic 8 Anton Zalar, ITPO, Ljubljana, Slovenia 8 Miloš Somora, Technical University, Košice, Slovakia 8 Leszek J.Golonka, Technical University, Wroclaw, Poland 8 Zsolt Vitez, Technical University, Budapest, Hungary 8 Monika Jenko, Institute for Metals and Technology, Ljubljana, Slovenia CONFERENCE ORGANIZING COMMITTEE 8 Meta Limpel, MIDEM, Ljubljana, Slovenia, 8 Barbara Malic, US, Ljubljana, Slovenia 8 Goran Drazic, US, Ljubljana, Slovenia 8 Iztok Sorli, MIKROIKS d.o.o., Ljubljana, Slovenia GENERAL INFORMATION The 36th International Conference on Microelectronics, DEvices and Materials, MIDEM 2000, continues the tradition of annual international conferences organized by the MIDEM Society. These conferences have always attracted a large number of Slovene and foreign experts working in these fields. The topics covered by the conference are quite diverse, and presenting about 60 papers in five sessions over three days seems rather demanding. However, once a year scientists and engineers have the opportunity to present their work to the international public and to meet and discuss trends, news and problems related to their field of work. We believe that this at least balances the effort required by the attendees and the organizer. The conference is very well known in the electronics community. Hundreds of distinguished scientists from all over the world have taken part in previous MIDEM conferences. The goal of establishing contacts, collaboration and friendship among scientists and their companies remains the main aim for the organizer. Therefore, you are kindly invited to take part in the forthcoming: 36th International Conference on Microelectronics, Devices and Materials -MIDEM 2000 Conference The conference will be held in Hotel Jama, Postojna, Slovenia, October 18-20, 2000 ORIGINAL PAPERS RELATING TO THE FOLLOWING AREAS ARE INVITED FOR SUBMISSION: 8 Novel monolithic and hybrid circuit processing techniques 8 New device and circuit design 8 Process and device modeling 8 Semiconductor physics 9 Sensors and detectors 8 Electromechanical devices 8 Microsystems 44 Informacije MIDEM 30(2000)1, Ljubljana a Optoelectronics • Photovoltaic devices • New electronic materials and applications • Electronic materials science and technology • Materials characterization techniques • Reliability and failure analysis • Education in microelectronics, devices and materials As with previous years each session will be introduced by distinguished invited speakers giving an overview presentation on a related field. INVITED CONFERENCE PAPERS Pavel Mach, Czech Technical University in Prague, Faculty of Electrical Engineering "Diagnostics of Nonlinearity of a Current vs. Voltage Characteristic - Theory and Application" Abstract: Contemporary development in the area of electronic and microelectronic materials and processes Is very quick. New components with ever-rising quality, smaller dimensions and lower price are developed, new materials as well as new technologies are involved every day into standard technological processes, environmental aspects limit the use of some typical materials. Together with the development in this area new types of diagnostics have to be developed, too. Generally, diagnostic methods can be divided in two basic groups: the methods, which are based on investigation of macro physical properties of components or materials and the methods, which reflects microphysi-cal properties. Both the basic types of diagnostics are complementary. The measurement of nonlinearity of a current vs. voltage characteristic of nominally linear components is used as a diagnostic tool for many years. For the first time it was usedforthin metal films, but it was soon used for investigation of other types of films and for different types of components, too. With respect to the mechanisms of conductivity, which take part in composite materials, such as thick resistive films or electrically conductive adhesives, this method seems to be especially convenient. There are many theories of nonlinearity. Overview of different theories of nonlinearity with their deeper description will be presented. The results of diagnostics of nonlinearity will be shown on three examples: on optimization of a shape of thick film resistors, on evaluation of quality of electrically conductive joints prepared of more types of isotropic electrically conductive adhesives after their thermal storing and on properties of lines with a very low width (20-200 /jm) manufactured of two types of special thick film material systems. Equipment for nonlinearity measurement which are available on the market (of a type CLT) are constructed for one frequency only, powering frequency is 10 kHz, measuring frequency 30 kHz. At the Department of Electrotechnology of the CTU it was developed an equipment which makes the measurement of non-linearity in the frequency range of 30 kHz - 900 kHz possible. The results obtained in this range allow to validate or to negative theory, which is based on assumption that a source of nonlinearity and noise is the same. Results, which were still obtained, show a poor mutual similarity of dependence of these parameters on frequency. For final decision about validity of this theory more measurements will have to be made. G.U.PignateK1), M.Boscardin«2), G.-F.Dalla Betta«2), (1)Dipartimento di Ingegneria dei Materiali, Universita di Trento, Italia, (2))TC-IRST, Divisione Microsistemi, Povo, Italia "Recent Developments in Silicon Radiation Detectors at IRST" Abstract: In the last few years, the Institute for Research, Science and Technology (IRST) has been involved in a research program, supported by the Italian Institute of Nuclear Physics (INFN), aimed at setting up the technological capabilities for the production of double-sided silicon microstrip detectors suitable as particle tracking devices in high energy physics experiments as, for example, "ALICE" at LHC. In this paper the most relevant achievements of this technological development will be presented. In particular, the main design and processing issues will be discussed and some selected results from the characterization of detectors and related test structures will be reported. 45 Informacije MIDEM 30(2000)1, Ljubljana WORKSHOP on ANALYTICAL METHODS in Microelectronics and Electronic Materials Begining in 1998, to the programme of the MIDEM Conferences specialist workshops were added. During the workshop, four to six invited speakers present papers on the chosen topics from different aspects, thus offering the audience valuable information. Time for thorough discussions is provided between invited presentations, and the Conference attendees are encouraged to present their research results in the Conference session dealing with the same topic. For Conference participants, attendance at the workshop is covered by the Conference registration fee. For the year 2000, we are pleased to announce a Workshop on ANALYTICAL METHODS in Microelectronics and Electronic Materials Selected topics associated with advanced analytical methods like AFM, SEM, TEM, EDX, AES, ESCA, XPS, etc. will be presented, covering the basic physical principles, as well as actual and possible applications of these methods in microelectronics and in the analysis of electronic materials. The workshop is organized by the Ceramics Department of the Jožef Stefan Institute. The programme committee is pleased to announce the following invited speakers, who will give their presentations on the following subjects: Igor Muševič, Jožef Stefan Institute, Ljubljana, Slovenia "Atomic Force Microscopy" Abstract: Since the invention of the Scanning Tunneling Microscope (STM) by G. Binnig and H. Rohrer in 1982, a number of related surface probing techniques have been developed, capable of resolving single atoms or molecules at a free surface. In particular, the Atomic Force Microscopy (AFM) is well recognized as a promising tool for observing the nanometer-scale structures of non-conductive surfaces, soft matter objects like single organic molecules, polymers, biological tissues, etc. At present we are able to observe individual atoms using the AFM technique and the goal is to resolve the chemical structure of surfaces and large macromolecules. We shall discuss the basic principles of operation of various Surface Probe Microscopy techniques and review various fields of application. H.Peter Karnthaler, Institute of Material Physics, University of Vienna, Austria "High Resolution TEM Analysis of Atomic Structures of Metals, Alloys and Ceramic Materials" Abstract: Transmission electron microscopy methods are used to get insight into the inner structures of the materials. In addition to the direct atomic resolution of the structures as interfaces and precipitates chemical information can be gained. It is important that the structural data can be correlated with the chemical ones on a very localized level. Since the specimens must be very thin to be penetrated even by 300 kV electrons special preparation techniques are required. Slavko Bernik, Jožef Stefan Institute, Ljubljana, Slovenia "Inversion Boundaries in ZnO-based Varistors" Abstract: The non-linear current-voltage (l-V) characteristic of ZnO-based varistor ceramics is a grain boundary phenomenon and results from an electrostatic barrier at the grain boundaries. The breakdown-voltage of varistor ceramics depends on the number of non-ohmic grain boundaries per unit thickness and can be controlled by the size of the ZnO grains. However, overall electrical and energy characteristics of the varistors, which are important for their use in the protection of electronic components and circuits against voltage surges, results from the synergetic effects of many elements of their complex microstructure. Inversion boundaries are the subject of this study. Sb203 is a powerful grain-growth inhibitor and is a standard additive to high-voltage varistor ceramics with fine grained microstructure. The influence of Sb2C>3-doping on micro structural development and electrical behaviour of varistor ceramics by the formation of spinel and pyro-chlore secondary phases has been studied extensively in the past. The mechanism whereby spinel grains serve as pinning centers for grain boundaries is generally accepted as being responsible for the inhibition of grain growth. The addition of Sb2Ü3 induces the formation of inversion boundaries in ZnO grains as well as some other spinel-forming dopants like TÍO2 and SnC>2. Our studies of the influence of Sn02-doping showed that inversion boundaries may play an important role in the process of ZnO grain growth, indicating that they might significantly influence the final characteristics of the varistor ceramics.Electron microscopy methods (SEM/EDS, TEM, STEM/EDS, HAADF, HRTEM) are useful tools for studying the structure and composition of inversion boundaries and their influence on the grain growth in ZnO-based varistor ceramics. Anton Zalar, Institute of Surface Engineering and Optoelectronics, Ljubljana, Slovenia "Materials Characterization by Auger Electron Spectroscopy Sputter Depth Profiling" Abstract: Depth profiling by ion sputtering in combination with Auger electron spectroscopy (AES) has become a valuable analytical tool in microelectronics and those areas of research and technology where the chemical composition of surfaces, interfaces and thin films is of importance. Its application range from fundamental surface and interface studies to thin-film structures for electronic and microelectronic devices, wear-and corrosion-resistant coatings, surfaces modi- 46 Informacije MIDEM 30(2000)1, Ljubljana fied by plasma technique or ion implantation, etc. Reactions at surfaces and interfaces as well as the resulting electrical and mechanical properties can only be understood if the relevant chemical and structural changes in the investigated thin-film structures are known. This requires a quantitative spatially resolved analysis and in-depth distribution of chemical composition with a high depth resolution. However, ion sputtering is not an ideal layer-by-layer erosion but is the result of a complex ion beam-sample interaction process. Various phenomena, the most important of which are due to ion beam induced changes of surface roughness and composition, limit the experimentally achievable depth resolution. The principles of the method and its fundamental capabilities and limitations will be discussed. The applicability of the AES depth profiling in microelectronics and some other technical fields will be illustrated by depth profiles of the characteristic samples. Ladislav Kosec, Faculty for Natural Sciences, Ljubljana, Slovenia "Metallographic Analysis of Materials in Electrical Circuits" Abstract: Metallographic analysis with optical microscope is classical research and testing method for materials characterization. It includes techniques of specimen preparation for direct observation uinder optical microscope at small magnifications ranging from several times to 1000 times, It enables us to identify all constituents of macro- and microstructure whose minimal size is in the range of 1/jiti. The microstructure constituents of this size are responsible for majority of useful properties of materials. With this research method wide range of materials can be effectively investigated in relatively short time and with small costs. This is also a reason why it is often used for quality control of production in industry. Often further chemical and other analysis or characterization is obsolete if one has enough experience in applying this method. With the development of various modern methods of surface and bulk materials characterization the importance of traditional metalography remains the same. Classical metallographic specimen preparation is needed for effective analysis prior to most modern ways of characterization - which are enabling us to reach new understanding of materials structure and properties. In this presentation the application of various metallographic technigues in microstructure analysis of the materials for electrical circuits will be given. H.Bender, IMEC, Leuven, Belgium "Application of focused ion beam for failure analysis" Abstract: The focused ion beam (FIB) technique is an important tool for failure analysis studies on devices. It allows the site-specific cross-section imaging at failure spots. Examples will be discussed of the identification of failure sites previously localized by emission microscopy. On the other hand can in unpassivated devices the failure sites be localized directly in the FIB by voltage contrast. Subsequently cross-section imaging can be done on these locations. The focused ion beam technique can also be used for the preparation of specimens for transmission electron microscopy. Some examples related to failure analysis will be discussed. CONFERENCE PROCEEDINGS Invited and contributed papers will be published in the Conference Proceedings and distributed at the Conference registration. LANGUAGE The official Conference language is English. IMPORTANT DATES Abstract deadline: June 1st Notification of acceptance: June 25th Preliminary Program: September 25th Only on Conference Web page http://paris.fe.uni-lj.si/midem/conference2000Jitm Paper deadline: September 20th Final conference program: on registration, October 18th ACCOMODATION The Conference will take place in the Hotel Jama, situated very close to the main Cave entrance. Coming to Postojna, please follow the signs for Postojna Caves (http://www.postojnska-jama.si) Postojna is a town situated 45 km south-west of Ljubljana. It is very well known for its cave, the most famous Karst cave in Europe. The Postojna caves consist of 20 km of sculpted galleries, chambers and halls into which over the last 180 years have come some 28 million visitors, each year driven here by curiosity, a devotion to nature or the need for mystery and beauty. Please send your room reservations indicating "for MIDEM 2000 Conference", directly to: POSTOJNSKA JAMA TURIZEM D.D. Prodaja - HOTEL. JAMA Jamska cesta 30 6230 Postojna SLOVENIA tel.+386 (0)5 70 00 103: +386 (0)5 726 58 89 fax. +386 (0)5 700 00 130, +386 (0)5 70 00 107 Email: postojnska.jama@siol.net 47 Informacije MIDEM 30(2000)1, Ljubljana SOCIAL EVENTS A welcome cocktail party will be held on Wednesday, October 18 at 20:00, after the guided tour through Postojna Caves. Do not miss this opportunity to admire the natural wonder of the underground world. For the MIDEM 2000 Conference participants this tour is included in the Conference fee. The guided tour starts at 18:30. The Conference dinner will be held on Thursday, October 19 at 20:00 in the beautiful Restaurant Jamska. MIDEM at MIKROIKS Mrs Meta Limpel Stegne 11 1521 Ljubljana, SLOVENIA tel.+386-61-1512 221, fax.+386-61-1512 217 email: lztok.Sorli@guest.arnes.si Conference Web page: http://paris.fe.uni-lj.si/midem/conference2000.htm REGISTRATION The registration fees are as follows: • FULL registration fee: 300 US$ 8 Employees of MIDEM or Conference sponsors: 250 US$ » MIDEM Society members: 250 US$ 9 MIDEM members who are also employees of MIDEM or Conference sponsors: 200 US$ Domestic participants must add 19% VAT to the listed prices. The fee includes Conference Proceedings and free access to all Conference events (Welcome cocktail party, Guided tour through Postojna Caves and Conference dinner). Undergraduate students have free access to all Conference sessions on submitting their study papers. For other Conference events there will be an additional charge. Contact person for the Workshop on ANALYTICAL METHODS in Microelectronics and Electronic Materials Dr.Marija Kosec, workshop chairperson Jožef Stefan Institute, Ceramics Department, Jamova 39 1000 Ljubljana, Slovenia tel.+386-61-1773 368, fax.+386-61-126 3126 Email: marija.kosec@ijs.si Programme and Organizing Committee, MIDEM 2000 Conference 48 Informacije MIDEM 30(2000)1, Ljubljana PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF THE COMPANY FROM FRONT PAGE R L S Podjetje RLS, d.o.o. je bilo ustanovljeno decembra 1989. Usmerjeno je v razvoj in proizvodnjo novih izdelkov in tehnologij na področju senzorike in naprav za brezkontaktno dimenzijsko kontrolo. Večino svojih izdelkov izvozimo v države Evropske unije in v Združene države Amerike. Od ustanovitve pa do danes je podjetje povečevalo obseg svojega poslovanja. V podjetju je skupaj z lastnikom podjetja zaposlenih 15 strokovnjakov z različnih področij tehnike, tehnologije in ekonomije. Naš zadnji razvojni dosežek je družina integriranih senzorjev pomika in zasuka, ki so nastali v sodelovanju z laboratorijem za mikroelektroniko Fakultete za elektroniko v Ljubljani. Za potrebe razvoja in prenosa izdelkov v proizvodnjo iščemo strokovnjake z znanji iz elektrotehnike, fizike in strojništva. RLS d.o.o. Cesta II. grupe odredov 25 1261 Dobrunje tel.: (0)61 1429 580 fax: (0)61 1429 612 September 3-6, 2000 ELECTROCERAMICS VII-2000 7th Int. Conference on Electronic Ceramics and Their Applications, Portorož, Slovenia Contact: Electroceramics VII-2000 Secretariat, Ceramics Department, Jožef Stefan Institute, Jamova 39, SI-1000 Ljubljana, Slovenia Tel.: + 386 61 1773 353 Fax: + 386 61 1263 126 E-mail: ele-ceram@ijs.si Homepage: www2.ijs.si/ele-ceram/welcome.html. 49 Informacije MIDEM 30(2000)1, Ljubljana PRIKAZ MAGISTRSKIH DEL IN DOKTORATOV V LETU 1999 M. S. and Ph. D. ABSTRACTS, YEAR 1999 MAGISTRSKA DELA Naslov naloge: Realizacija etalona za gostoto magnetnega pretoka na osnovi jedrske magnetne resonance Avtor: Gregor GERŠAK, dip. ing. Mentor: prof. dr. Anton JEGLIČ Univerza v Ljubljani, Fakulteta za elektrotehniko Že leta 1924 je Pauli objavil dva teoretična koncepta, kako z jedrskim kotnim momentom in jedrskim magnetnim momentom pojasniti hiperfine strukture, ki so jih našli v nekaterih optičnih spektrih atomov /30/. Eksperimentalni dokaz še ni bil možen s tedanjimi optičnimi tehnikami. Šele leta 1933 sta Stern in Gerlach (Nemčija) predlagala tehnike, ki so jih kasneje nadgradili Rabi, Millman, Zacharias in Kusch z Univerze Colombia (1939). S tem je bilo možno definirati jedrski magnetni moment. Jedrski magnetni in jedrski kontni moment sta osnovi za eksperimente z jedrsko magnetno resonanco. Med drugo svetovno vojno so razvili več tehnik, ki so omogočile, da sta bila leta 1946 neodvisno objavljena dva eksperimenta. Purcell, Torrey in Pound s Harvard-ske univerze so prvi zaznali resonanco atomskega jedra s pomočjo jedrske magnetne absorpcije. Istočasno so Bloch, Hansen in Packard s Stanfordske univerze zaznali resonanco s svojim principom jedrske magnetne indukcije. Bloch in Purcell sta leta 1952 prejela Nobelovo nagrado za fiziko za svoje delo na področju jedrske magnetne resonance /31/. Metoda jedrske magnetne resonance ali NMR metoda (Nuclear Magnetic Resonance) se je v petdesetih, šestdesetih in sedemdesetih letih razvijala predvsem v analitično orodje fizikalnih meritev, na primer za merjenje magnetnih polj ali v organski kemiji za analize vzorcev. V začetku sedemdesetih se je v medicini začelo obdobje prostorskega slikanja CT (Computerized Tomography) na podlagi NMR /27/. Vse bolj se je uveljavila spektroskopija makroskopskih lastnosti snovi kot standardni pripomoček v kemijski in prehrambeni industriji. Raziskovalno delo na področju NMR se je usmerilo v študij mikroskopskih lastnosti snovi, kot so kemijski premiki v okolici jedra, anizotropije v trdnih snoveh in tekočih kristalih in druge mikroskopske interakcije /30/. Danes so glavna področja uporabe principa NMR na področju spektroskopije v kemijskih in bioloških analizah in na področju MRI (Magnetic Resonance Imaging) v klinični medicini. Manjši del predstavljajo tudi precizijska merjenja magnetnih polj na področju metrologije. NMR magne-tometri delujejo na podlagi principa jedrske magnetne resonance in predstavljajo najtočnejšo metodo za določevanje gostote magnetnega pretoka. V uvodnem delu magistrske naloge so predstavljene fizikalne osnove jedrske magnetne resonance. Temeljni lastnosti atomskih jeder, kotni moment ali spin / in magnetni moment ¡j, definirata giromagnetno razmerje, kot temeljno konstanto eksperimentov jedrske magnetne resonance. Na mikroskopskem nivoju so predstavljene kvantne lastnosti posameznih spinov, Zeemanov razcep osnovnega stanja spina in medsebojne interakcije v skupini spinov. Podane so Blochove enačbe za dinamične relaksacijske razmere v spinskem sistemu. Definiran je resonančni eksperiment in sicer kot eksperiment, pri katerem spini od dodatne visokofrekvenčne elektromagnetne motnje absorbirajo energijo in preskočijo na višji energetski nivo. Preskok je najbolj izražen, ko se frekvenca elektromagnetne motnje izenači z resonančno frekvenco spinov. Takrat govorimo o jedrski magnetni resonanci. Delovanje NMR magnetometrov temelji na fizikalnem principu jedrske magnetne resonance. Vzorec, ki je del sonde NMR rnagnetornetra, vsebuje atomska jedra, oz. protone. Protone lahko obravnavamo tudi kot mikroskopske magnetne dipole. V termičnem ravnovesju brez zunanjih magnetnih polj so magnetni dipoli naključno porazdeljeni po prostoru in precesirajo v naključnih smereh. Do pojava jedrske (aii protonske) resonance pride, ko vzorec izpostavimo zunanjemu enosmernemu magnetnemu polju B0. Nekateri protoni se postavijo v smeri tega polja, nekateri v obratni smeri. V novi smeri magnetni dipoli precesirajo, pravimo, da imajo spin. Teorija jedrske magnetne resonance pravi, cla je frekvenca precesiranja ali vrtenja okoli nove smeri odvisna samo od vrste jedra in od gostote magnetnega pretoka zunanjega polja B0. Frekvenca precesiranja se imenuje tudi Larmorjeva frekvenca idl. (»L =y-Bo, kjer je y giromagnetno razmerje, Bo pa gostota magnetnega pretoka zunanjega magnetnega polja. Giromagnetno razmerje je razmerje med jedrskim kotnim momentom (spinom) in jedrskim magnetnim momentom in predstavlja snovno konstanto, oz. osnovno fizikalno konstanto, ki je značilna za atome določenih kemičnih elementov. Neznano magnetno polje gostote magnetnega pretoka Bo tako lahko merimo z merjenjem Larmorjeve frekvence mi, pri čemer moramo poznati konstantno giromagnetno razmerje y. Odnos med gostoto magnetnega pretoka in frekvenco je linearen. V nadaljevanju sta predstavljena oba principa merjenja gostote magnetnega pretoka s pomočjo metod jedrske magnetne resonance. Prikazane so značilnosti delovanja absorpcijskih NMR magnetometrov in magne- 50 Informacije MIDEM 30(2000)1, Ljubljana tometrov, ki delujejo na principu proste precesije. Prikazane so glavne razlike obeh principov. V okviru projekta Vzpostavitve slovenskega nacionalnega etalona za veličino gostote magnetnega pretoka že tretje leto poteka sodelovanje Laboratorija za magnetna merjenja Fakultete za elektrotehniko Univerze v Ljubljani z Laboratorijem za šibka magnetna polja (Lab. 2,24 Signalspeichertechnik und schwache magnetische Felder, vodja dr. Kurt Weyand) nemške institucije Physikalisch-Technische Bundesanstalt (PTB) iz Braunschweiga, Nemčija. V okviru tega projekta je bil v PTB tudi izdelan protonski magnetometer Laboratorija za magnetna merjenja. Glavni del magistrske naloge obravnava zgradbo in delovanje protonskega magnetometra. Prikazana je modularna zgradba magnetometra ter predstavljen vsak modul. Najvažnejši del predstavljata vezje mejnega oscilatorja in modul fazno občutljivega detektorja za ločevanje šuma od koristnega signala. Mejni oscilator (marginal oscilllator) je oscilator, katerega notranja povratna zanka regulira njegovo ojačenje tako, da deluje na meji svojega delovanja in je zelo občutljiv na vsako spremembo kvalitete nihajnega kroga. Kvaliteta nihajnega kroga, ki je sestavljen iz detektorske tuljavice z vzorcem in kondenzatorja, se najbolj spremeni v resonanci, ko se frekvenca oscilatorskega signala izenači z jedrsko magnetno resonančno frekvenco. Sprememba kvalitete se izkorišča za detekcijo oscilatorske frekvence, v kateri je zakodirana gostota magnetnega pretoka merjenega polja. S pomočjo amplitudne modulacije merjenega polja, faznega detektiranja in metode sklenjene zanke lahko opazujemo sicer močno pošumljen izhodni signal mejnega oscilatorja in izmerimo resonančno frekvenco. Prikazano je tudi delovanje drugih sestavnih delov magnetometra, in sicer princip delovanja resonančnega diskriminatorja in frekvenčnega preleta, delovanje frekvenčnega števca in časovne baze. Sledi podroben opis načrtovanja sond magnetometra. Sonde NMR magnetometra so sestavljene iz detektorske tuljavice z vzorcem (vodna raztopina bakrovega sulfata) in modulacijskega navitja. Razložen je postopek izdelave detektorskih tuljavic. Posebno poglavje je namenjeno uvrstitvi protonskega magnetometra v metrološko piramido. Prikazan je primer izračuna merilne negotovosti magnetometra, ki je v osnovi sestavljena iz dveh negotovosti tipa B in dveh tipa A. Negotovosti tipa B sta negotovost giromag-netnega razmerja in negotovost zaradi kemičnega premika. Negotovost giromagnetnega razmerja je vrednost, ki je bila definirana na CODATA 86 konferenci, negotovost zaradi kemičnega premika pa je določena izkustveno. Negotovost detektiranja centra resonančne krivulje je odvisna od strmine prehoda disperzijske krivulje čez ničlo, negotovost frekvenčne kvantizacije s frekvenčnim števcem pa je določena z napako zadnjega digita števca pri določenem času merjenja. Relativna negotovost magnetometra je odvisna od gostote merjenega polja in znaša tipično 10 ppm. V zadnjem poglavju je prikazano nekaj tipičnih praktičnih poskusov s pomočjo absorpcijskega NMR magnetometra. Predstavljeno je enostavno iskanje resonančnega pojava za neznano magnetno polje. Pri- kazane so osnove poljskega preleta resonančne frekvence in direktnega opazovanja disperzijske krivulje vzorca. Podan je poskus ugotavljanja optimalne koncentracije bakrovega sulfata za najbolj ugodno razmerje signal-šum. Prikazan je tudi postopek razširitve merilnega območja obstoječega magnetometra. V začetni fazi je bilo merilno območje magnetometra precej ozko, od 4 m T do 20 mT. Praktična uporabnost takega magnetometra je omejena. Zato smo izdelali nove detektorske tuljavice in jih uporabili skupaj z novo zgrajenim oscilatorskim modulom. S tem smo dvignili zgornjo mejo merilnega območja nad 20 mT. V PTB je bilo mogoče generirati samo polja do 240 mT, zato je bil protonski magnetometer preizkušen do 240 mT. Spodnjo mejo merilnega območja smo s pomočjo tuljavice z največjo induktivnostjo (Sp 5) spustili na 2 mT. V prihodnje je načrtovana razširitev merilnega območja magnetometra tudi na merilno točko 307 mT, ki bi jo lahko generirali s permanetnim magnetom, ki je že postavljen na Fakulteti za elektrotehniko. Na drugi strani merilnega območja je načrtovana primerjalna meritev med absorpcijskim magnetome-trom in magnetometrom na principu proste precesije v PTB. Tako bi vzpostavili neposredno sledljivost absorpcijskega magnetometra na primarni etalon za gostoto magnetnega pretoka, ki ga hranijo v PTB. Naslov naloge: Električno in magnetno polje v okolju elektroenergetskih vodov Avtor: Breda CESTNIK, dipl. ing. Mentor: prof. dr. Peter ŽUNKO Somentor: doc. dr. Anton SINIGOJ Univerza v Ljubljani, Fakulteta za elektrotehniko Naloga z elektrotehničnega - in deloma tudi s širšega vidika - obravnava električno in magnetno polje firek-vence 50 Hz, ki sta prisotni v naravnem in življenjskem prostoru okoli elektroenergetskih vodov kot objektov elektroenergetskega sistema. Naloga v začetnem delu zajema pregled priporočil, standardov in zakonske regulative različnih držav glede mejnih dopustnih vrednosti za električno poljsko jakost in gostoto magnetnega pretoka. Predstavljena je spe-cifika slovenske zakonodaje s področja elektromagnetnega sevanja in iz nje izhajajočih konceptov ugotavljanja jakosti polj. Nadalje je v nalogi opisana analitična metoda za izračun električnega in magnetnega polja v okolici linijskih vodov. Predstavljeni so rezultati izračunov za izbrano množico tipskih glav daljnovodnih stebrov in tipskih polaganj kablovodov nazivne napetosti nad 1 kV, skupaj z izbranimi vrednostmi vplivnih parametrov. Izvedena je analiza dobljenih rezultatov s stališča določil slovenske zakonodaje s področja elektromagnetnega sevanja - to je prevedba mejnih vrednosti polja v ustrezajoče razdalje. Iz dostopne literature je povzet pregled tehničnih rešitev za znižanje jakosti 51 Informacije MIDEM 30(2000)1, Ljubljana električnega in magnetnega polja. Izpostavljene so ugotovitve analize in nakazane posledice določil zakonodaje za obstoječe in predvidene elektroenergetske vode kot vire električnega in magnetnega polja. Naslov naloge: Sistem za avtomatizirano optično odčitavanje električnih števcev Avtor: Boštjan MUROVEC, dipl. ing. Mentor: prof. dr. Stanislav KOVAČIČ Univerza v Ljubljani, Fakulteta za elektrotehniko Pričujoče delo podaja rezultate raziskav in razvoja avtomatiziranega odčitavanja električnih števcev s pomočjo kamere in osebnega računalnika. Potreba po sistemu, ki bi opravljal to nalogo, se je pojavila v tovarni, kjer električne števce izdelujejo. Preizkus teh naprav je skoraj v celoti avtomatiziran, glavno oviro do popolne avtomatizacije pa predstavlja končni preizkus proizvodov, sestavni del katerega je odčitavanje njihovih vrednosti, ki ga v odsotnosti ustreznega sistema za odčitavanje opravlja človek. Tak način kontrole je že v osnovi manj zanesljiv od avtomatiziranega, saj je rutinsko in ponavljajoče se odčitavanje vrednosti števcev utrudljivo in zahteva od človeka veliko koncentracije. Iz tega sledi, da se je praktično nemogoče izogniti določenemu številu napak pri kontroli proizvodov, ki so težko ugotovljive in niso naknadno dokazljive. Postopek avtomatskega odčitavanja električnih števcev, kot ga v tem delu predlagamo, izpolnjuje pričakovanja industrijskega okolja po hitrem, robustnem, ponovljivem in točnem delovanju. S tem se odpira možnost popolne avtomatizacije proizvodnje teh naprav. Laboratorijski testi kažejo, da bodo končni produkt lahko uporabljali tudi serviserji električnih števcev z uporabo ročnih odčitovalnikov, kjer so delovni pogoji manj predvidljivi kot v sami proizvodnji. Delo podrobno opisuje strukturo sistema ter postopke, ki nas od zajete slike pripeljejo do končnega rezultata. Poleg prijemov, ki smo jih pri odčitavanju uporabili, so opisane še alternativne rešitve, ki smo jih v toku raziskav preizkusili in zavrnili, ker so se izkazale za manj uspešne. Kljub temu nam pomagajo bolje razumeti delovanje sistema ter nam omogočajo popolnejši pregled nad obravnavano problematiko. Naslov naloge: Razvojno mikrokrmilniško okolje M68HC11 za pedagoške potrebe Avtor: Robert ROSTOHAR, univ. dipl. inž. Mentor: prof. dr. Franc BRATKOVIČ Univerza v Ljubljani, Fakulteta za elektrotehniko Ta magistrska naloga predstavlja integrirano razvojno okolje "HC11 IDE" za mikrokrmilnik Motorola M68HC11. Naloga obsega izdelavo samega večnamenskega mikrokrmilniškega sistema (Development Board) s pripadajočim BIOS-om in izdelavo programske opreme za osebni računalnik, ki predstavlja integrirano razvojno orodje (IDT). Mikrokrmilniški sistem je zelo univerzalen, zato ga lahko v kombinaciji z razvojnim orodjem uporabljamo za izdelavo najrazličnejših aplikacij. Ko pa je aplikacija oz. program razvit, pa lahko sistem deluje tudi samostojno in služi kot prototipni sistem. Mikrokrmilniški sistem sestavljajo naslednje enote: • mikrokrmilnik Motorola M68HC11A1 • 8 kBytes EPROM (pomnilnik za BIOS ali samostojni program) ® 8 kBytes RAM (uporabniški programski pomnilnik) • 8 kBytes RAM (uporabniški podatkovni pomnilnik) • paralelni vmesnik PIA6821 • priključek R5232 s pretvornikom nivojev za serijski vmesnik ° priključek za vhodno / izhodne enote (Input / Output Connector) • priključek za razširitev (Expand Connector) • priključek za napajanje (Power Supply) • stabilizator napajanja in blokiranje pri podnapetosti (LVI) • tipka za reset (Manual Reset) Sistem je zasnovan na 8-bitnem mikrokrmilniku M68HC11A1 (Single-Chip Microcontroller), ki ima vgrajenih že celo vrsto enot. Na vezju je dodanih še nekaj enot oz. gradnikov, ki še dodatno povečajo zmogljivosti samega mikrokrmilnika in omogočajo priključitev še raznih dodatnih zunanjih enot, za katere se odloči uporabnik. Zaradi tega je celoten sistem zelo odprt in predstavlja učinkovito orodje za razvoj aplikacij. Za nalaganje programov (Download) v mikrokrmilnik in bralno - pisalni dostop do poljubne pomnilniške lokacije ter za zagon programov in možnost izvajanja programov po korakih skrbi "Basic Input Output System" (BIOS). To je poseben strojni program, ki je zapisan v EPROM-u mikrokrmilniškega sistema in omogoča hitro komunikacijo med sistemom in nadzorno enoto, ki poteka preko serijskega vmesnika RS232. Mikrokrmilnik sprejema ukaze od nadzorne enote in se ustrezno odzove. Nadzorna enota je običajno osebni računalnik. Glavne značilnosti mikrokrmilniškega sistema: 9 mikrokrmilnik M68HC11A1 v razširjenem načinu delovanja (Extended Mode) - 8-bitni CPU z izboljšanim M6800/M6801 naborom ukazov (Instruction Set) - 256 Bytes RAM - 512 Bytes EEPROM - 12 vhodno / izhodnih linij z dodatnimi funkcijami - 8-kanalni analogno / digitalni pretvornik (ADC) - 16-bitni časovnik (Timer) s posebni funkcijami (Input Capture, Output Compare) - sinhroni serijski vmesnik (SPI) 52 Informacije MIDEM 30(2000)1, Ljubljana - asinhroni serijski vmesnik (SCI) - prekinitveni sistem • pomnilnik in naslovni prostor - 8 kBytes EPROM - 16 kBytes RAM - 4*8 kBytes za zunanje enote (možnost razširitve) • paralelni vmesnik PIA6821 - 2 * 8-bitna dvosmerna vrata (Bi-directionai Port) - 4 dodatne vhodno / izhodne linije s posebnimi funkcijami - prenos podatkov s protokolom (Handshake Control) - linije z visoko impedančnim stanjem (High Impedance 3-State Lines) 0 Basic Input Output System - BIOS - nalaganje uporabniških programov - zagon programov in možnost izvajanja programov po korakih - bralno / pisalni dostop do celotnega naslovnega prostora (64 kBytes) - komunikacija preko RS232 asinhronega serijskega vmesnika (SCI) Za načrtovanje aplikacij je dodatno narejen posebni programski paket "HC11 IDT" (integrirano razvojno orodje), ki je grafični vmesnik za komunikacijo z uporabnikom in deluje na osebnem računalniku z Windows 95/98/NT operacijskim sistemom. To je uporabniško prijazen programski paket, ki predstavlja zelo močno razvojno orodje in vsebuje vse, kar je potrebno pri razvoju programov. Razvojno orodje je povezano z mikrokrmilniškim sistemom preko RS232 serijskega vmesnika in uporablja funkcije, ki jih nudi njegov BIOS. Z razvojnim orodjem lahko pišemo programe, jih urejamo, odpravljamo morebitne napake v sintaksi in jih prevajamo v strojno kodo ter jih nato naložimo v mikro-krmilniški sistem. Naložene programe nato lahko poženemo ali pa jih izvajamo po korakih. Lahko uporabljamo tudi posebne prekinitvene točke tam, kjer želimo, da se program ustavi. Poleg tega lahko pregledujemo in urejamo celotni naslovni prostor, v katerem so poleg pomnilnikov tudi notranji registri mikrokrmilnika, paralelnega vmesnika in morebitnih dodatnih zunanjih enot. Vse to nam omogoča, da lahko preverimo, ali napisani program deluje, kot je bilo načrtovano. Glavne značilnosti razvojnega orodja "HC11 IDT": 8 vgrajen urejevalnik teksta (Editor) • vgrajen makro-zbirniški prevajalnik (Macro Assembler) 9 vgrajen inverzni prevajalnik (Disassembler) 8 podpora simbolov (Symbols) 8 nalaganje programov (Download) 8 zagon programov (Run) 8 izvajanje programov po korakih (Single Stepping) 8 prekinitvene točke (Breakpoints) 8 opazovalni elementi (Watches) 9 pregled in urejanje vsebine vseh registrov 8 pregled in urejanje celotnega naslovnega prostora (64 kBytes Memory Map) 8 podpora osnovnih številskih sestavov (DEC, BIN, OCT in HEX) 8 vgrajen serijski terminal 8 sprotna pomoč (Online Help) Blok shema strojne in programske opreme integriranega razvojnega mikrokrmilniškega okolja "HC 11 IDE" je prikazana na sliki 1.1. HCl 1 Board bios Slika 1.1: "HC11 IDE" - strojna in programska oprema Mikrokrmilniški sistem skupaj z BlOS-om in zmogljivim programskim razvojnim orodjem omogoča celotno razvojno okolje za mikrokrmilnik M68HC11. Po svojih lastnostih in zmogljivostih se približuje podobnim profesionalnim razvojnim okoljem, po ceni pa spada med cenene izvedbe. Razvojno mikrokrmilnško okolje M68HC11 je predvideno predvsem za pedagoško delo, saj ga odlikuje univerzalni in enostavni mikrokrmilniški sistem ter prijazen grafični vmesnik, ki omogoča enostavno delo. Namenjeno je še posebej študentom, da si z majhnimi stroški izgradijo svoj lastni sistem, ki ga lahko uporabljajo v najrazličnejše namene. Naslov naloge: Širokopasovna optična transportna omrežja Avtor: Petra VOVK, dipl. ing. Mentor: doc. dr. Matjaž Vidmar Univerza v Ljubljani, Fakulteta za elektrotehniko Širokopasovno transportno omrežje mora zagotoviti pogoje za prenos različnih storitev. Podpirati mora različne prenosne načine in zagotavljati zaščitne mehanizme za odpravo napak. Ob uvajanju novih tehnologij, ki jih zahtevajo nove storitve in strmo naraščanje podatkovnega prometa, je najprej potrebno postaviti temelje, določiti standarde, da bo omrežje enotno povezano in da bo oprema različnih proizvajalcev usklajeno delovala. V optičnem omrežju se uporabljajo različni načini mul-tipleksiranja: časovno (TDM), valovnodolžinsko (WDM) in morda v prihodnosti tudi kodno (CDM) multiplek-siranje. Pri TDM-u so v laboratoriju dosegli prenos 640 PC HC11 IDT Windows 95/98/NT 53 Informacije MIDEM 30(2000)1, Ljubljana Gb/s, kar je do danes najboljši dosežek, Tudi z WDM načinom dosegamo zelo dobre rezultate, saj je zmogljivost WDM sistemov še večja kot zmogljivost TDM sistemov, predvsem na račun velikega števila valovnih dolžin, ki jih multipleksiramo v vlakno. Vsak kanal ima svojo valovno dolžino, katere prenosna hitrost je enaka hitrosti drugih kanalov (optimalna rešitev), lahko pa se prenosne hitrosti kanalov med seboj razlikujejo (neop-timalna rešitev, ki ima kljub temu veliko zmogljivost), V delu sem obravnavala tudi sistem za nadzor, ki omogoča hkratno merjenje valovne dolžine in optične moči WDM signalov. WDM tehnologija omogoča prenos večjega števila moduliranih nosilcev na različnih valovnih dolžinah. Tako povečuje zmogljivost prenosne poti, po kateri strmijo nove storitve. Pri povečanju zmogljivosti moramo posebno skrb posvetiti fizikalnim omejitvam vlaken kot so kromatična disperzija, polarizacijska disperzija, stimulirano Ramanovo sipanje, štirivalovno mešanje, lastna in križna fazna modulacija. Poleg povečane zmogljivosti prenosnega medija, ki nam jo nudi WDM tehnologija, je za veliko prepustnost celotnega sistema pomembno valovnodolžinsko usmerjanje. To je omogočeno z uvedbo optične poti. Poznamo pot valovne dolžine (WP-Wavelength Path; v literaturi se za tako omrežje uporablja tudi izraz omrežje z valovnodolžinskim usmerjanjem) in navidezno pot valovne dolžine (VWP-Virtual Wavelength Path, tudi omrežje z valovnodolžinsko pretvorbo). Za realizacijo optičnih poti je nujno potreben sistem za prevezovanje optičnih poti. Prevezovalniki morajo izpolnjevati zahteve, kot so stroga neblokirnost zaradi mehanizma "vzpostavitev delovanja", imeti morajo re-generatorske sposobnosti, ne smejo zmanjševati ugas-nega razmerja, omogočati morajo prehod med WP in VWP, imeti morajo čim majše optične izgube. WDM tehnologija in valovnodolžinsko usmerjanje podpirata SDH in ATM prenos, ter omogočata usmerjanje SDH in ATM signalov na nivoju optične poti. S tem se izognemo električnemu procesiranju v prevezovalnikih, kar poveča prepustnost omrežja in zmanjša zakasnitve. Uvedba optične tehnologije v nivo poti omogoča vzpostavitev delovanja omrežja po napaki s pomočjo nove optične poti in predstavlja skupni vzpostavitveni mehanizem za različne prenosne načine. Naslov naloge: Omejitvene lastnosti metaliziranih folijskih kondenzatorjev Avtor: Samoel MALNARIČ, dipl. ing. Mentor: prof. dr. Jože FURLAN Univerza v Ljubljani, Fakulteta za elektrotehniko Pričujoče magistrsko delo zajema tri obširna poglavja o delovanju kondenzatorja, ki so dobrodošla pri razumevanju njegovega delovanja. Ta so: Enosmerno prevajanje organskih dielektrikov, Prebojna trdnost polimerov in Proces ionizacije v kondenzatorju. Vsa poglavja vsebujejo uvodne teoretične dele, ki so pri- dobljeni s pomočjo znanstvene literature, ter praktične dele, ki so podprti z merilnimi rezultati. Poglavje Enosmerno prevajanje organskih dielektrikov vsebuje obširen pregled možnih prevajalnih mehanizmov, ki v delovanju kondenzatorja prispevajo svoj delež k skupni prevodnosti. Znani prevajalni mehanizmi so: ohmski tok, SCLC-tok, omejen s prostorskim nabojem, Schottkyev efekt, Poole-Frenkelov efekt, tunelski efekt ter ionsko prevajanje. Prevajalni mehanizmi slonijo na določenih fizikalnih principih, ki so ustrezno prikazani. Vsak ima svoj značilen potek l-U karakteristike, ki ga lahko primerjamo z izmerjenim potekom. Tako ugotovimo, kateri prevajalni mehanizem prevladuje. Kateri bolj vpliva na prevodnost in kateri najmanj, je odvisno od tipa dielektrika ter kovine, od debeline dielektrika, od vrste spoja med dielektrikom in kovino, od velikosti enosmerne napetosti in temperature. Zato je zelo težko ali skoraj nemogoče podati za določeni material univerzalni prevajalni mehanizem, ker se prispevek posameznega prevajalnega mehanizma spreminja zaradi prej naštetih vplivov. Pri določenih pogojih delovanja kondenzatorja se da zelo lepo določiti najvplivnejši enosmerni prevajalni mehanizem, velikokrat pa ima izmerjena l-U karakteristika kondenzatorja zelo nespecifičen in to ni možno. Takrat je prevodnost materiala odvisna od dveh ali več prevajalnih mehanizmov. Pridobljeno znanje pripomore k lažjemu razumevanju rezultatov enosmernih testiranj kondenzatorjev, pri razumevanju samega delovanja kondenzatorja ter nenazadnje pri iskanju novih boljših tehnoloških rešitev pri izdelavi. Poglavje Prebojna trdnost polimerov zajema pregled najverjetnejših prebojnih mehanizmov v dielektriku ter analizo samoozdravitvenega preboja v kondenzatorju. Prebojna trdnost polimerov je zelo odvisna od zgradbe materiala, njegove nečistosti zaradi primesi in nenazadnje od samega postopka izdelave tako dielektrikov kot kondenzatorjev. Samoozclravitveni preboj v kondenzatorju je sestavljen iz dveh časovno zaporednih dogodkov. Prvi je preboj samega dielektrika, kjer se ustvari prevodni kanal skozi dielektrik zaradi lokalne prebojne oslabitve materiala. Drugi pa je samoozdravitveni preboj, kjer skozi prebojno mesto steče velik praznilni tok kondenzatorja. Zato je dano poglavje sestavljeno iz dveh delov. V prvem delu so opisani najverjetnejšimi prebojnimi mehanizmi, katere srečamo v organskih dielektrikih. To so termični preboj, preboj s plazovito ionizacijo in elektromehanski preboj. V drugem delu pa so prikazani izmerjeni poteki samoozclravitvenih prebojev na različnih kondenzatorjih ter analize njihovih potekov. V poglavju Proces ionizacije v kondenzatorjih smo si ogledali fizikalno ozadje procesa ionizacije ter kateri parametri vplivajo na njegovo intenzivnost. Pridobljeno znanje smo prenesli v okolje kondenzatorja in fizikalno razložili učinke ionizacije v kondenzatorju. Prikazani sta dve različni metodi merjenja procesa ionizacije, ki se med seboj ločujeta po načinu in natančnosti detekcije. Med seboj so primerjani enako veliki kondenzatorji, ki so narejeni po različnih tehnoloških postopkih. Tako smo na analitični in eksperimentalni način ugotovili najboljši tip kondenzatorja, ki je najbolj odporen proti uničevalnim učinkom ionizacije. 54 Informacije MIDEM 30(2000)1, Ljubljana Naslov naloge: Vloga germanija v bazi transistorja Avtor: Branko FERK, dipl. ing. Mentor: prof. dr. Slavko AMON Univerza v Ljubljani, Fakulteta za elektrotehniko Današnja tehnologija išče hitre elemente, ki bazirajo na ceneni tehnologiji, zato so v zadnjih desetletjih intenzivno raziskovali vlogo germanija v siliciju. Implan-tacija germanija v silicij je še pred desetletji predstavljala največje probleme zaradi mehanskih napetosti SiGe zlitine ter ne ujemanju kristalografsklh struktur polprevodnikov. Izdelava napetih plasti, ki se ujemajo v kristalografski strukturi, je pripomogla k uveljavitvi Si/Sii-xGex/Si heterospojnih bipolarnih tranzistorjev (SiGe HBT). Hkrati imajo takšni SiGe HBT-ji visoko koncentracijo primesi, da dosegajo boljše električne lastnosti. S strani modeliranja takšne strukture to predstavlja izziv, saj je potrebno upoštevati različne efekte, ki pri tem nastopajo, pri čemer se omejimo na npn SiGe HBT. Po drugi strani pa to povzroča veliko truda pri določitvi konkretnih modelov. Za izračun kolektorskega toka je potrebno upoštevati mnogo odvisnih parametrov, ki nastopajo pri izračunu. V 5. poglavju zapišemo enačbe za npn bipolarni tranzistor ter izpeljemo izraz za kolektorski tok in tokovno ojačenje. Pri izpeljavi upoštevamo smiselne poenostavitve realnih struktur ter možnosti današnje tehnologije. Upoštevanje efektov visoke koncentracije v izpeljavah ni zajeto neposredno, pač pa moramo korigirati nekatere parametre. V nadaljevanju si ogledamo vpliv visokih koncentracij na pn produkt, mobilnost nosilcev ter navidezno oženje prepovedanega področja. Omenjene posledice kažejo na izjemno pomembnost visokih koncentracij na modeliranje in optimizacijo bipolarnih tranzistorjev. 6. poglavje je posvečeno implementaciji germanija v silicij ter študij efektov, ki nastanejo kot posledica. Predstavljen je študij fizikalnih dogajanj v p tipu SiGe in Si pri visoki koncentraciji primesi in širokem temperaturnem področju, ki vplivajo na statistiko nosilcev naboja. Rezultati so pokazali, da je za modeliranje sistema Si-SiGe v širokem temperaturnem področju potrebno upoštevati sledeče efekte: efekt gostote stanj, degene-riranost, dejansko oženje prepovedanega pasu zaradi visoke koncentracije primesi, premik Fermijevega nivoja zaradi repa gostote stanj v pasu večinskih nosilcev naboja in dejansko oženje prepovedanega pasu zaradi germanija in strukturne napetosti. Študiju vplivov omenjenih efektov na izračun efektivne mase vrzeli v SiGe sledi obravnava navideznega oženja. Definirana je kot vsota posameznih fizikalnih efektov, ki vplivajo na pn produkt v opazovanem materialu glede na referenčni material. Navidezno oženje prepovedanega pasu je možno določiti iz tranzistorskih karatkeristik ter fotoluminiscenčnih meritev. Temperaturna odvisnost prepovedanega oženja v siliciju je zanemarljiva, zato se ne upošteva. Takšna zanemaritev pa v SiGe materialu ni možna, saj efekt gostote stanj in degeneriranost bistveno vplivata na temperaturno odvisnost navideznega oženja prepovedanega pasu že pri koncentracijah primesi 1018-109 cnr3. Predstavljen je koncept modela za izračun navideznega oženja prepovedanega pasu in pripadajočih modelov za obravnavo SiGe HBT-ja pri visoki koncentraciji primesi in širokem temperaturnem področju. Programi za numerično simulacijo polprevodnikih elementov omogočajo hkratno pravilno modeliranje koncentracije manjšinskih nosilcev ter upoštevanje pravilne lege Fermijevega nivoja v degeneriranem polprevodniku. Ob upoštevanju specifičnosti sistema Si-SiGe in vseh pomembnih efektov visoke koncentracije primesi smo določili splošne enačbe za modeliranje kolektorskega toka SiGe HBT-ja. Izpeljane formulacije so primerne za vključitev različnih efektov, kot je efekt visokih koncentracij primesi. Za primer polprevodnika SiGe s homogenim profilom koncentracije primesi in homogenim deležem germanija izpeljemo analitični izraz za izračun kolektorskega toka SiGe HBT-ja. Modele, ki nastopajo pri numeričnem in analitičnem izračunu, je potrebno preučiti in izbrati tiste, ki najbolj odgovarjajo našemu primeru. Temu se v celoti posvetimo v 5. poglavju. V začetku proučimo možnosti simulacije s programom za numerično simulacijo polprevodnike strukture. Po detajlnem pregledu pridemo do ugotovitve, da program omogoča izračun in simulacijo poljubne 2D strukture. Vendar smo pri tem omejeni na modele, vsebovane v programu, za katere menimo, da v današnjem času obstajajo boljši. Poiščemo si svoje modele ter utemeljimo njihovo primernost na konret-nem primeru. Poseben poudarek posvetimo možnosti vključitve modelov za izračun navideznega oženja in efektivne mase vrzeli v simulacijski program, za kar je potrebno določene modele predelati in tako pospešiti, čemur se posvetimo v 8. poglavju. Predstavimo časovno optimiziran algoritem za izračun efektivne mase vrzeli, ki nima bistvenega odstopanja od originalnega modela, vendar je časovno ugodnejši. Tako uporabimo: 0 model za izračun efektivne mase vrzeli, 0 model za izračun navideznega oženja prepovedanega pasu, 8 model za izračun mobilnosti v silicijevi strukturi in • model za upoštevanje difuzijske konstante v SiGe. V 9. poglavju se ukvarjamo s proučevanjem in meritvijo realnih struktur. Iz meritev profilov SiGe HBT-jev (z različnim deležem germanija) z metodo SIMS, dobimo potek profilov koncentracij primesi P, As, B in Ge. Poteke uporabimo za določitev Na Wb produkta ter aproksimacijo profilov s homogenimi poteki za posamezen SiGe HBT. Na podlagi izrazov za izračun kolektorskega toka SiGe HBT-ja in uporabljenih izračunov izvedemo simulacijo poenostavljene realne strukture za široko področje temperature ter deleža germanija. V enakih pogojih izvedemo tudi meritve reainih struktur, predvsem baznega in kolektorskega toka ter jih kasneje uporabimo pri analizi. Poleg tega izvedemo še meritve polja karakteristik Ic(Uce.Ib) in Ic(Uce.Ube) ter prebojne karakteristike Ic(Uce) za orientacijo skupni emitor v širokem temperaturnem področju ter za različne deleže germanija. Rezultate primerjamo z meritvami kolektorskega toka realnih SiGe HBT ob enakih pogojih. Iz medsebojnih primerjav nato sklepamo o ustreznosti modelov, uporabljenih pri izračunu, predvsem modela 55 Informacije MIDEM 30(2000)1, Ljubljana za izračun efektivne mase vrzeli in navideznega oženja prepovedanega pasu. Naslov naloge: Nove metode za spremljanje bio-tehnoloških procesov Avtor: Primož KOSELJ, dipl. ing. Mentor: prof. dr. Dušan FEFER Univerza v Ljubljani, Fakulteta za elektrotehniko Današnje tržne zakonitosti zahtevajo od proizvodnega procesa, da je le-ta z ekonomskega vidika čim bolj optimalen in da je izkoristek vhodnih surovin čim boljši. To še posebej velja za panoge, kjer imajo vhodne surovine, pa tudi končni produkt, visoko ceno. Med tovrstne panoge spada tudi biotehnologija, še posebej farmacevtska industrija. Velika večina biotehnoloških procesov se odvija v posebnih reakcijskih posodah -bioreaktorjih, v katerih s pomočjo mikroorganizmov ali encimov pridobivamo različne koristne izdelke. Biotehnološki proces se odvija v hranilnem mediju (substratu), ki je lahko tekoč ali pa trden. V uvodnem poglavju sta prikazana primera dveh biotehnoloških procesov, ki kažeta na raznolikost uporabe biotehnologije v današnjem svetu, proizvodnja antibiotikov in proizvodnja piva. Kljub tako različnim proizvodom pa imajo biotehnološki procesi precej skupnih točk in problemov. Tradicionalno vodenje bioprocesa s preprostim časovnim krmiljenjem v večini primerov ne zadošča več. Za uspešno vodenje in optimizacijo biotehnološkega procesa potrebujemo vpogled v njegovo trenutno stanje, kar vključuje sprotno spremljanje biomase, hranilnih snovi, ključnih intermediatov in končnega produkta znotraj bioreaktorja. Spisek parametrov, ki bi jih želeli spremljati, je tako precejšen. Žal nam klasične metode spremljanja predvsem fzikal-nih (temperature, pretoki, tlak, mešanje,..) in kemijskih spremenljivk (kislost, raztopljeni kisik in drugi plini,...) omogočajo le posredne meritve prej omenjenih parametrov in ne zadoščajo več sodobnim zahtevam. Za spremljanje omenjenih, predvsem bioloških in kemijskih parametrov, potrebujemo merilne metode, ki so zadosti selektivne, da lahko v sicer kompleksnem mediju razpoznajo iskani analit. Predstavljenih je tudi nekaj različnih metod za spremljanje biomase, organskega biološkega materiala enoceličnih mikroorganizmov (celic, ki so sposobne rasti, delitve in proizvodnje) in nekaj t.i. izvedenih (računskih) parametrov, katere biotehnologi uporabljajo za spremljanje koncentracije, snovnega prenosa hranil in kisika. Zaradi različnih zahtev posameznih senzorjev in merilnih sistemov so prikazane tudi možne vključitve le-teh v bioreaktorski proces, skupaj s prednostmi in pomanjkljivostmi posameznih rešitev. V naslednjem poglavju so opisani svojstveni problemi, s katerimi se srečujemo pri merjenju v bioreaktorju. Nekateri procesi, kot je npr. sterilizacija, zahtevajo od vključenih senzorjev vzdržljivost na povišano temperaturo in tlak, drugi, kot so rast na tipalu, penjenje in raztopljeni plini v mediju, motijo meritev. Zahteva večine bioprocesov po ohranjanju sterilnosti med delovanjem omejuje možnost dostopa do senzorjev in njihove menjave. Učinkovito in ceneno možnost spremljanja bioloških parametrov nam ponujajo biosenzorji, analitske naprave, ki selektivno odgovarjajo na preiskovanec v določenem vzorcu s kombinacijo biološkega razpoznavnega elementa in ustreznega pretvornika v električni signal. Predstavljenih je nekaj primerov uspešnih biosen-zorjev, ki delujejo na različnih principih zaznavanja, za spremljanje glukoze, laktata, etanola in imunskih kompleksov. Spekter možnih bioloških razpoznavnih elementov je sicer precejšen, spekter pretvornikov tudi, žal pa posebne zahteve bioloških elementov krepko omejujejo uporabo v bioreaktorskih procesih. Drug pristop k reševanju problema selektivnosti je, da namesto selektivnih senzorjev uporabljamo univerzalne detektorje, selektivnost pa dosežemo drugje. Ta pristop je temelj večine predstavljenih novih analitskih tehnik. Od njih pričakujemo, da so: 0 robustne, da omogočajo rutinsko delo, • selektivne, kajti večina biotehnoloških procesov so kompleksne mešanice komponent s podobno strukturo in 8 hitre, da lahko spremljamo tudi prehodne pojave. Injekcijska analiza (FIA - Flow Injection Analysis) je pravzaprav avtomatiziran sistem za izvajanje klasičnih reakcij kemijske analize. Selektivnost sistema torej dosežemo s selektivnostjo izbrane kemijske reakcije, katere rezultat izmerimo s pretočnim detektorjem, običajno s fotometrom. Kromatografske metode so danes brez dvoma najbolj razširjene metode separacije v kemijskih analizah. Z njimi je možno v kompleksnih mešanicah ločiti, izolirati in identificirati iskano komponento preko interakcije med mobilno in stacionarno fazo, katero zaznamo z (navadno) univerzalnim detektorjem. Pri visokokotlačni tekočinski kromatografiji (HPLC -High Pressure Liquid Chromatography) vzorec raztopimo v tekoči mobilni fazi, ki jo potiskamo v kro-matografsko kolono, napolnjeno s trdno stacionarno fazo. Predstavljena je običajna konfiguracija HPLC sistema, opisane so posamezne komponente in zahteve za delovanje. Na izhodu iz kromatografske koione komponente zaznamo z detektorjem. Opisani so najpogostejši tipi detektorjev in njihovi principi delovanja: spektrofotometrični, spektrofluorimetrični, elektrokemijski, konduktometrični in detektor optičnega lomnega količnika. Ker mora vzorec za HPLC analizo zadostiti nekaterim kriterijem, žal ni možen neposreden priklop HPLC sistema na bioreaktor, ampak potrebujemo posebne vzorčevalnike oz. filtracijske enote, ki vzorec razplinijo in fltrirajo. Ker je HPLC analiza v osnovi dolgotrajen proces, v osnovi to omejuje možnosti njene uporabe za spremljanje bioprocesov. Predstavljenih je nekaj možnosti pohitritve, od možne miniaturizacije s t.i. mikro HPLC ali 56 Informacije MIDEM 30(2000)1, Ljubljana celo z izvedbo na silicijevi ploščici, do novih, hitrejših materialov za separacijo (stacionarnih faz). V obeh primerih lahko čas ene analize zmanjšamo za toliko, da je primerljiv z odzivnim časom kemijskega senzorja. Pri plinski kromatografiji (GC - Gas Chromatography) je mobilna faza inertni nosilni plin, ki s konstantnim pretokom teče skozi sistem in kolono, v kateri je trdna stacionarna faza. Predstavljena je običajna konfiguracija GC sistema, opisane so posamezne komponente in zahteve za delovanje. Ker z GC lahko določamo le hlapne komponente, so možnosti uporabe omejene na analizo plinov v mediju in nad njim in hlapnih komponent. Trajanje analize je podobno kot pri HPLC, prav tako je možna pohitritev z miniaturizacijo kritičnih delov sistema. V sedmem poglavju so prikazane nekatere manj razširjene, vendar zanimive in obetavne nove instrumentalne metode za spremljanje bioprocesov. To so masna spektrometrija, katero je z razvojem vmesnikov in ionskih izvorov možno uporabiti tudi za analizo komponent v mediju, in pretočni citometer, s katerim lahko dobimo pomembne informacije o stanju mikrobne biomase. Zal obe navedeni metodi zahtevata kompleksno instru-mentacijo in sta temu primerno dragi, tako daje njihova uporaba zaenkrat omejena. Metrološka problematika v biotehnologiji je kompleksno področje, saj se tu stika več inženirskih in bioloških ved, vsaka s svojimi predstavami in zahtevami glede metrologije, svoje dodajajo še razna nacionalna regu-latorna telesa. Biotehnološki proizvodi morajo ustrezati določenim predpisom, zato je potrebno natančno in sistematično preverjanje vseh faktorjev, ki imajo vlogo v razvoju, proizvodnji in končni kontroli nekega proizvoda. Takšno preverjanje zagotavlja varnost uporabe nekega proizvoda in ga imenujemo validiranje. Validiramo lahko proizvodni proces, za nas je bolj zanimivo validiranje kromatografskih metod in instrumentov kromatografskega sistema, kar je opisano v osmem poglavju. Večina meritev moderne kemijske analitike, tako tudi kromatografske metode, uporablja primerjalne metode, kjer se z določenim instrumentom primerja neznan vzorec z vzorcem znane sestave in koncentracije. Za zagotavljanje primerljivosti in merilne sled-Ijivosti potrebujemo torej t.i. referenčne materiale (RM), snovi, ki imajo za eno ali več lastnosti ali snovi podano vrednost, ki je zadosti dobro opredeljena, da z njo lahko kalibriramo merilno metodo. Kromatografsko metodo lahko kalibriramo na več načinov, opisani so vsi koraki od časovnega zapisa detektorskega signala do kvantitativne analize in statistične obdelave. Na primeru ZDA je prikazana celotna metrološka shema nacionalnega metrološkega sistema za analitsko kemijo. Prikazan je razkorak med možnostmi merilne sledljivosti merilnega rezultata kemijske analize in merilnih rezultatov fizikalnih ali elektriških spremenljivk, dodatno pa so obdelani metrološki problemi, ki nastopajo pri uporabi metod kemijske analize v biotehnoloških procesih. Naslov naloge: Možnosti zmanjšanja pomnilnika v strukturi porazdeljene aritmetike Avtor: Bojan JARC, dipl. inž. el. Mentor: doc. dr. Rudolf BABIČ Komentor: red. prof. dr. Bogomir HORVAT Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V delu smo predstavili možnosti minimizacije pomnilnika za shranjevanje delnih vsot koeficientov v strukturi porazdeljene aritmetike (PA). PA predstavlja postopek izračuna skalarnega produkta dveh vektorjev na bitnem nivoju brez uporabe množilnikov. Izhodna vrednost digitalnega sita v PA se izračunava s postopkom seštevanja in enostavnega deljenja z dva vnaprej izračunanih delnih vsot koeficientov shranjenih v pomnilniku tipa ROM. Velikost potrebnega pomnilniškega prostora narašča eksponentno s številom koeficientov N digitalnega sita. Za digitalno sito z N koeficienti impulznega odziva potrebujemo pomnilnik velikosti besed dolžine Bciv bitov, pri čemer Bdv predstavlja število bitov za zapis delnih vsot koeficientov. Že pri N= 20 potrebujemo pomnilnik z 1M pomniiniškimi lokacijami. V delu smo se omejili na analizo nerekurzivnih FIR (Finite Impulse Response) sit. S FIR siti lahko dosežemo linearni potek faze izhodnega signala, kar z rekurzivnimi IIR (Infinite Impulse Response) siti ne moremo. Ome-njena lastnost FIR sit je aktualna vselej, kadar faza vhodnega signala vsebuje koristno informacijo. Za doseganje podobnega dušenja A in selektivnosti potrebujemo pri FIR sitih tudi petkrat večje število koeficientov impulznega odziva N kot pri IIR sitih. Zato smo preučili možnosti zmanjšanja potrebnega pomnilni-škega prostora. Ugotovili smo: 1. Z nasprotno simetričnim načinom zapisa delnih vsot koeficientov prepolovimo potrebni pom-nilniški prostor za zapis delnih vsot koeficientov. Preostalo polovico delnih vsot koeficientov generi-ramo iz obstoječih z zamenjavo predznaka in ustreznim naslavljanjem. Tako dosežemo zmanjšanje pomnilnika iz 2N na 2N"1 pomnilniških lokacij. 2. Za FIR digitalna sita s simetričnimi koeficienti impulznega odziva lahko zmanjšamo potrebni pom-nilniški prostor iz 2N na 2N"2 pomnilniških lokacij. Za izračun ene delne vsote koeficientov naslovimo zmanjšani pomnilnik dvakrat. Naslovljeni okrnjeni delni vsoti koeficientov ustrezno utežimo in seštejemo. Sito v PA s tako zmanjšanim pomnilnikom smo imenovali sito z zmanjšanim številom pomnilniških naslovnih linij. 3. S postopkoma omenjenima v točkah 1 in 2 dosežemo maksimalno zmanjšanje potrebnega pomnilniškega prostora iz 2N na 2N/2~1 pomnilniških lokacij. Nadaljnje zmanjšanje pomnilniškega prostora dosežemo s paralelno, kaskadno ali kombinirano realizacijsko strukturo FIR sit. 57 Informacije MIDEM 30(2000)1, Ljubljana 4. Razvili smo modificirano PA. Modificirana PA omogoča zmanjšanje kompleksnosti aparaturne izvedbe digitalnega sita in povečanje dinamičnega območja izhodnega signala za primere nizkopre-pustnih sit. 5. Za FIR sita s simetričnimi koeficienti impulznega odziva v modificirani PA lahko ločeno uporabimo oba (točka 1 in 2) omenjena načina za zmanjšanje velikosti pomnilniškega prostora. Nadaljnje zmanjšanje pomnilniškega prostora dosežemo s paralelno, kaskadno ali kombinirano realizacijsko strukturo FIR sit. V okolju Simulink matematičnega orodja Matlab smo razvili modele za analizo posameznih realizacijskih struktur FIR sit. Zajeli smo vplive posameznih kvanti-zacij in opravili primerjalno analizo posameznih realizacijskih struktur tako za direktno kot kaskadno izvedbeno obliko. Primerjali smo osnovne frekvenčne parametre in šumne moči digitalnega sita. Z rezultati simulacij smo potrdili pravilno delovanje posameznih realizacijskih struktur. Ugotovili smo manjšo občutljivost osnovnih frekvenčnih parametrov in izhodnih šumnih moči sita v modificirani PA na zmanjševanje števila bitov za zapis delnih vsot koeficientov in bitov aritmetične enote. Prav tako smo ugotovili, da strukture z zmanjšano velikostjo pomnilniškega prostora dajejo primerljive rezultate z rezultati struktur brez zmanjšanega pomnilniškega prostora in so primerne za uporabo v kaskadnih strukturah višjih stopenj. Izdelali in izmerili smo odzive digitalnega sita stopnje 29 v direktni obliki izvedbe iri stopnje 57 v dveh kaskadah. Uporabili smo strukturo z zmanjšanim številom naslovnih linij v klasični in modificirani PA. Z meritvami smo potrdili pravilnost rezultatov simulacij. Naslov naloge: Uporabnost kaotičnega digitalnega sita v kriptografskih sistemih Avtor: Matej ŠALAMON, univ. dipl. inž. el. Mentor: doc. dr. Tomaž DOGŠA Komentor: doc. dr. Rudolf BABIC Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko Opisan je hiter tokovni simetrični kriptografski sistem, ki temelji na izboljšani tehniki kaotičnega maskiranja. Za generator tokovnega ključa smo izbrali kaotično digitalno sito II. reda in s pomočjo programskega orodja Matlab izvedli kriptografsko analizo zasnovanega kriptografskega sistema. Ugotovili smo, da načrtovan sistem izpolnjuje načrtovalske aksiome in zahteve, zato smo se odločili tudi za njegovo praktično implementacijo s signalnima procesorjema TMS320C50. Rezultati kriptoanalize in lastnosti realiziranega sistema so pokazali, daje kaotično digitalno sito II. reda povsem primerno za uporabo v kriptografske namene. Implementirani kriptografski sistem se namreč odlikuje s preprosto strukturo, veliko hitrostjo in varnostjo pred napadom z grobo silo ter pred različnimi statističnimi napadi. Sistem omogoča šifriranje in dešifriranje analognih in digitalnih sporočil v realnem času in je primeren za vgradnjo v naprave za šifriranje audio signalov, v telefonske aparate in naprave za zaščito podatkov v računalniških omrežjih. Naslov naloge: Polnilec akumulatorskih baterij zasnovan na strukturi dveh pretvornikov navzdol in pretvornika navzgor Avtor: Milan AUDA, dipl. inž. el. Mentor: red. prof. dr. Karel JEZERNIK Komentor: izr. prof. dr. Miro MILANOVIČ Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V delu smo predstavili sinhronizirano delovanje vzporedne vezave dveh pretvornikov navzdol in pretvornika navzgor z zveznim vhodnim tokom. Z autoregresivno metodo za identifikacijo sistemov smo določili dinamično karakteristiko sestavljenega pretvornika in na njeni osnovi določili parametre regulatorja. Na pretvorniku smo izvedli meritve vhodnih in izhodnih veličin. Rezultate meritev smo ocenili z vidika zahtev bremena in iz vidika omejitev standarda IEC 1000-3-2. DOKTORSKE DISERTACIJE Naslov doktorske disertacije: Tuneliranje preko pasti v energijski reži v amorfnih polprevodnikih Avtor: Žarko GORUP Mentor: prof. dr. Jože FURLAN, univ. dipl. ing. Univerza v Ljubljani, Fakulteta za elektrotehniko V zadnjem desetletju se v svetu stalno pojavljajo novi modeli za obravnavo polprevodnikih struktur, ki omogočajo boljše načrtovanje in procesiranje elektronskih elementov. Ti modeli zajemajo vedno več efektov in računalniški programi za modeliranje so čedalje bolj kompleksni. Primerna poenostavljena fizikalna slika kompleksnega modela lahko omogoča lažjo razlago fizikalnih dogajanj v materialu in zadovoljivo opi suje to-kovno-napetostne odvisnosti modeliranega elementa. Meritve tokovno-napetostne karakteristike p+n+ spoja amorfnega silicija kažejo na veliko odstopanje med izmerjeno karakteristiko in odvisnostjo, ki jo dobimo računsko z upoštevanjem zgolj injicirane komponente toka. Predpostavili smo, da poleg te tokove komponente obstaja še rekombinacijska komponenta toka, ki 58 Informacije MIDEM 30(2000)1, Ljubljana je v glavnem posledica tuneliranja nabojev iz prevodnega ali pa valenčnega pasu na lokalizirana stanja v energijski reži in nazaj. Rekombinacijske procese v polprevodniku obravnava klasična SRH teorija. Ta teorija pa ne daje zadovoljivih rezultatov za primer p+n+ spoja amorfnega silicija z močnim vgrajenim električnim poljem. Znano je, da imajo amorfni polprevodniški materiali zvezno porazdelitev lokaliziranih stanj v energijski reži, pri kateri ni prekrivanja roba prevodnega pasu na eni straniv z robom valenčnega pasu na drugi strani p+n+ spoja. Če je tak polprevodniški spoj izpostavljen močnemu električnemu polju, lahko pride v prevodni smeri do povečanega transporta nabojev zaradi termično vzbujenega tuneliranja in Poole-Frenkelovega efekta. Za izgradnjo izpopolnjenega modela amorfnega p+n+ spoja je najprej narejena povezava med klasično SRH analizo ujetij in emisij ter predlaganimi dodatnimi efekti. Za izhodišče je vzet en sam nivo pasti v reži in zapisane enačbe v klasični obliki. Analiza je razširjena na zvezno porazdelitev lokaliziranih stanj v energijski reži, ki ji je dodano na koncu še tuneliranje skozi bariero ter efekt znižanja bariere zaradi vpliva močnega električnega polja. Pri tem je ohranjena klasična oblika zapisa enačb in vsi dodatni efekti, združeni v nov faktor T. Ta faktor predstavlja navidezno povečanje prereza ujetja opazovanega lokaliziranega stanja, kar ima za posledico povečanje lovljenj in emisij nabojev. Tako modificirani izrazi za rekombinacije-generacije jasno kažejo na delež, ki ga ima v rekombinacijsko-generacijskem procesu termični vpliv in delež, ki je posledica tuneliranja in Poole-Frenkelovega efekta. Pri analizi modela je privzeto konstantno električno polje v področju p+n+ spoja amorfnega silicija. Tran-sparenca za trikotno obliko bariere je zapisana z WKB aproksimacijo. S tem model ne izgubi na splošnosti, ohranja pa razumljivo fizikalno predstavo in omogoča sprotno numerično preverjanje. Model je grajen brez predpostavke glede posamezne vrste nabojev pasti, zato je enako uporaben tako za nabita, kot za nevtralna stanja. Ker obstajajo v energijski reži amorfnega silicija pasti akceptorskega in donorske-ga tipa, so končni izrazi za rekombinacije-generacije predstavljeni kot vsote dveh členov, v katerih so upoštevani mehanizmi lovljenj in emisij elektronov in vrzeli v akceptorskih stanjih ter elektronov in vrzeli v donor-skih stanjih. Gostota rekombinacijskega toka je izračunana kot integral posameznih rekombinacijsko-generacijskih prispevkov preko celotne zaporne plasti od roba nevtralne plasti na p+ strani do roba nevtralne plasti na n+ strani spoja. Izkaže se, da je delež te komponente tokove gostote v celotni tokovi gostoti daleč prevladujoč nad deležem injiciranega toka na robu zaporne plasti. Model je preizkušen na primeru preprostega p+n+ spoja amorfnega silicija, za katerega smo razpolagali tudi z eksperimentalnimi vzorci. Področje prostorskega naboja je po energijski osi in po krajevni koordinati razdeljeno na ekvidistantne segmente. Seštete so posamezne komponente rekombinacijskega toka in ob upoštevanju injiciranega toka izračunana celotna tokova gostota preko p + n+ spoja. Tokovo gostoto smo nato izmerili na procesiranih eksperimentalnih vzorcih in naredili primerjavo med izračunano in izmerjeno tokovno-napetostno karakteristiko. Ob upoštevanju serijske upornosti, ki jo izkazujejo merjeni vzorci, je dobljeno dobro ujemanje izračunanih in izmerjenih rezultatov. Predstavljeni model p+n+ spoja amorfnega polprevodnika podaja sliko fizikalnega dogajanja v osiromašenem področju pri prevodni napetosti in omogoča razmeroma preprost izračun tokovno-napetostne karakteristike. Tako analitično kot tudi eksperimentalno nam kaže na konsistentnost analitičnih in izmerjenih rezultatov ter potrjuje hipotezo o eksistenci termično vzbujenega tunelskega toka, ki predstavlja glavno komponento pri prenosu nabojev v analizirani strukturi. Naslov doktorske disertacije: Splošni sekvenčni diagnostični postopki za digitalne sisteme Avtor: Anton BIASIZZO Mentor: prof. dr. France BRATKOVIC Univerza v Ljubljani, Fakulteta za elektrotehniko S hitrim razvojem kompleksnih digitalnih sistemov je problem uspešnega testiranja in diagnosticiranja vedno bolj pereč. Novi razvojni sistemi omogočajo načrtovalcu hiter razvoj kompleksnih sistemov istočasno pa te sisteme zaradi kompleksnosti vedno težje testiramo. Na področju testiranja in diagnosticiranja namreč nimamo ustreznih orodij, ki bi uspešno sledila razvoju načrtovalskih orodij. Doktorska disertacija predstavlja posplošitve sekvenčnih diagnostičnih postopkov za digitalna vezja. V uvodu disertacije so opisane osnove testiranja digitalnih sistemov. Celoten proces načrtovanja testiranja ter diagnosticiranja lahko v grobem razdelimo na: modeliranje napak sistema, določanje testnih vektorjev, vrednotenje dobljenih testov ter določanje diagnostičnih postopkov. Podanih je nekaj osnovnih metod določanja testnih vektorjev tako za kombinacijska kot tudi za sekvenčna vezja. Sledi pregled postopkov simulacije napak, s katerimi vrednotimo dobljene teste ter gradimo slovarje napak. V nadaljevanju je podan pregled postopkov diagnosticiranja sistemov. Postopke diagnosticiranja delimo na: 9 postopke na osnovi modelov sistema, kjer model sistema uporabimo za sklepanje o izvoru napačnega delovanja, • postopke na osnovi slovarja napak, kjer vnaprej določimo in shranimo možne odzive sistema ter jih kasneje pri postopku diagnosticiranja primerjamo z merjenimi odzivi. Podrobno so obdelani sekvenčni diagnostični postopki. Predstavljene so metode določanja sekvenčnih diagnostičnih postopkov na osnovi simetričnih binarnih testov, še posebej grajenje optimalnih postopkov. Opisan je postopek AO* ter izbrana hevristična ocena, ki temelji na Huffmanovemu kodiranju. Pokazano je, da 59 Informacije MIDEM 30(2000)1, Ljubljana ta hevristična funkcija zagotavlja optimalnost dobljenega diagnostičnega postopka. Osrednji del doktorske disertacije je posvečen posplo-šitvi predstavitve testov ter metod določanja optimalnih diagnostičnih postopkov. V praksi se namreč pogosto srečamo s testi, ki imajo več izidov oziroma imajo asimetrične lastnosti. Klasične metode določanja sekvenčnih diagnostičnih postopkov takih testov pri optimizaciji niso zajele, zato dobljeni diagnostični postopki niso najcenejši. Pokazali smo, da nam tudi v primeru posplošene predstavitve testov izbrana hevristična ocena zagotavlja optimalnost dobljenega diagnostičnega postopka. V metodo določanja diagnostičnih dreves smo vključili tudi možnost sprotne zamenjave posameznih modulov sistema. V zadnjem delu disertacije smo opisane metode preizkusili na zgledih iz različnih področij. Diagnostične postopke, ki upoštevajo teste z več izidi, smo uporabili pri diagnosticiranju kombinacijskih vezij ter pri določanju napak povezav pri testiranju z razmejilno testno linijo. Izkaže se, da se pri kompleksnih sistemih ne moremo izogniti asimetrični naravi testov. Ker simetrični testi zahtevajo uporabo dragih namenskih testnih naprav, s tem bistveno podražijo postopek diagnostici-ranja. Upoštevanje asimetričnih testov se je zato izkazalo kot zelo uspešno. Na področju vzdrževanja sistemov pa diagnostične postopke z upoštevanjem možnosti sprotnih zamenjav modulov še dodatno izboljšamo. Naslov doktorske disertacije: Spodbujevano učenje z nevronskimi mrežami pri problemih vodenja Avtor: Branko ŠTER Mentor: prof. dr. Andrej DOBNIKAR Univerza v Ljubljani, Fakulteta za računalništvo in informatiko V zadnjih letih potekajo intenzivne teoretične in aplikativne raziskave na področju umetnih nevronskih mrež kakor tudi na področju avtomatskega vodenja dinamičnih procesov. Združevanje teh dveh področij izgleda obetavno in v mnogih pogledih še vedno neraziskano področje. Umetne nevronske mreže se uporabljajo na mnogih področjih sodobnega razpoznavanja vzorcev, vodenja, predikcije, modeliranja, procesiranja slik in na drugih področjih. Ker so nevronske mreže relativno robustne in ker uporabljajo malo ali celo nič apriornega znanja, so primerne za procesiranje negotovih in nepoznanih sistemov. Že po svoji naravi so primerne za hitre paralelne izvedbe. Zaradi relativne kompleksnosti niso zlahka dostopne teoretični analizi. Zato so za uspešno uporabo nevronskih mrež pogosto potrebne izkušnje. Tukaj obravnavamo spodbujevano učenje z uporabo različnih aproksimacijskih arhitektur, večinoma nevronskih mrež. Spodbujevano učenje temelji po eni strani na psiholoških modelih vedenja živih bitij, po drugi strani pa na dobro osnovani teoriji dinamičnega programiranja. V nasprotju z nadzorovanim učenjem na eni strani, pri katerem je na voljo učiteljevo znanje, in nenadzorovanim učenjem na drugi strani, kjer je poznan samo določen funkcionalni kriterij, je spodbujevano učenje na sredini. Pri spodbujevanem učenju je na voljo učečemu sistemu neka majhna količina povratne informacije; ne kako izvesti nalogo, ampak kako dobro je bila izvedena v prejšnjih eksperimentih. Torej je naloga pri spodbujevanem učenju izvesti krmilnik izključno na temelju relativno majhne količine vrednostne informacije. Pri "one-step" problemih je ocena na voljo po vsakem koraku, mnogo bolj zanimivi pa so "multi-step" problemi, pri katerih je povratna informacija v obliki kritike oz. ocene na voljo šele po vsakem poskusu, tj. po mnogo korakih. Naloga adaptivnega sistema je najti ustrezno obnašanje, ki vodi do želenega cilja. Očitno je, daje potrebno veliko eksperimentiranja. Na kratko opišemo osnove Markovskih odločitvenih procesov in teoretične osnove dinamičnega programiranja, ki predstavljajo temelj metodam spodbujeva-nega učenja. Opišemo osnovne pojme dinamičnega programiranja, kot so vrednostna funkcija, problemi s končnim in neskončnim horizontom, problemi najkrajše poti itd. Nadaljujemo z opisom temeljnih metod dinamičnega programiranja kot sta iteracija vrednosti in iteracija strategije. Opišemo tudi dve najbolj znani metodi spodbujevanega učenja: Q-učenje. ki ne potrebuje modela in ga gradi implicitno v toku učenja, ¡n TD(A.) metode. Te pojme osvetlimo z nekaj preprostimi primeri. Po drugi strani opišemo osnovne modele umetnih nevronskih mrež, ki jih uporabljamo v tem delu. To so večnivojski perceptron z vzvratnim učenjem, nevronska mreža z radialnimi baznimi funkcijami, učenje vektorske kvantizacije in zemljevid značilk s samo-organizacijo. Metode spodbujevanega učenja in dinamičnega programiranja dokazano delujejo dobro pri problemih, predstavljenih s preslikovalno tabelo, medtem ko je uporaba aproksimacij (posebno nelinearnih) mnogo resnejši problem. Najprej obravnavamo linearne aprok-simacijske arhitekture in navedemo nekaj teoretičnih rezultatov. Pokažemo, da je ta problem možno obravnavati analitično in dejansko izračunamo rezultate za nekatere preproste probleme. Nadalje opišemo nelinearne aproksimacijske arhitekture v obliki nevronskih mrež: posebno večnivojski perceptron in mreže z radialnimi baznimi funkcijami. Najprej raziščemo osnovno obnašanje teh arhitektur na nekaj preprostih primerih. Ugotovimo, da te metode s pomočjo nekaj dodatnih trikov v splošnem konvergi-rajo. Nato predstavimo izboljšave treh obstoječih metod. Najprej ugotovimo, da algoritem z združevanjem stanj lahko učinkovito uporabimo kot temelj novemu algoritmu, tj. algoritmu s projekcijami v omejeno množico, Ta algoritem uporablja metodo vzvratnega učenja, kombinirano s projekcijami v omejeno množico, ki je definirana z algoritmom z združevanjem stanj. Nadalje vpeljemo "on-line" samo-organizacijo v RBF mrežah. To je koristno, kadar uporabljamo "on-policy" metode, kajti radialne bazne funkcije se razmeščajo v bolj pomembne in pogosteje obiskane dele prostora stanj. Tretja izboljšava pa je vpeljava momenta v model Adap-tivne hevristične kritike (AHC). Privzeto je, da je pn 60 Informacije MIDEM 30(2000)1, Ljubljana mnogih problemih vodenja optimalna strategija precej konzervativna v smislu, da se zaporedne akcije ne razlikujejo dosti. Eksperimentalno je pokazano, da v takih primerih vpeljava momenta znatno pospeši AHC algoritem. Za preverjanje metod so uporabljeni razni primerjalni problemi. Naš temeljni cilj je bil torej raziskati uporabo aproksimacij z nevronskimi mrežami pri spod-bujevanem učenju. Naslov doktorske disertacije: Raziskava obratovanja sinhronskega motorja s trajnimi magneti v režimu slabljenja polja Avtor: mag. Bojan ŠTUMBERGER, dipl. inž. el. Mentor: red. prof. dr. Božidar HRIBERNIK Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko Sinhronski motorji s trajnimi magneti se uporabljajo v najrazličnejših industrijskih aplikacijah, kjer je pogosto zaželeno delovanje s konstantno oddano močjo v širokem področju spreminjanja vrtljajev. Razširitev področja delovanja s konstantno oddano močjo je pri naraščanju hitrosti vrtenja mogoče doseči z usmerjanjem statorskega toka tako, da reakcija statorja nasprotuje vzbujanju trajnega magneta. Končna hitrost pri delovanju s konstantno oddano močjo je pri višjih hitrostih vrtenja omejena z naraščanjem izgub v železu, ki tako omejijo končno hitrost stroja, pri kateri oddana moč pade na vrednost nič, kar poveča zahtevo po natančnem izračunu izgub stroja že v fazi načrtovanja. V doktorski disertaciji je opisan postopek izračuna stacionarnih karakteristik sinhronskega motorja s trajnimi magneti s postprocesiranjem rezultatov izračuna magnetnega polja z dvodimenzionalno metodo končnih elementov (2D MKE). Z namenom verifikacije predlaganih metod izračuna, so bile na testnem sinhronskem motorju s potopljenimi trajnimi magneti, ki je deloval v režimu slabljenja polja, opravljene meritve obremenitev v širokem področju spreminjanja vrtljajev. Stacionarne karakteristike testnega sinhronskega motorja s trajnimi magneti so bile določene z izračunom energetske bilance stroja. Iz rezultatov meritev izgub uporabljene magnetne pločevine v izmeničnem in krožno rotacijskem magnetnem polju so bili določeni koeficienti modela za izračun izgub v železu. Informacije o spreminjanju vektorjev gostote magnetnega pretoka v posameznih delih stroja, dobljene iz rezultatov izračuna magnetnega polja stroja z 2D MKE, so bile uporabljene za izračun izgub v železu skupaj z izpeljanimi izrazi za spreminjanje histereznih, vrtinčnih in presežnih komponent izgub v razmerah izmeničnega, krožno rotacijskega in eliptično rotacijskega magnetnega polja. Potrebni vhodni podatki za numerični izračun magnetnega polja motorja so bili dobljeni iz rezultatov meritev obremenitev testnega motorja v področju spreminjanja vrtljajev 3000-10000 vrt/min. Pravilnost in natačnost predlaganih metod izračuna stacionarnih karakteristik SMTM je verificirana s primerjavo računskih in merilnih rezultatov testnega motorja. 61 Informacije MIDEM 30(2000)1, Ljubljana Ob slovesu Trdni koraki se izgubljajo v temi. Ugasnjena luč. Na mizi odprta knjiga in listek za jutri. Koledar, skrit spodaj, pod kupom papirjev, ne sluti, da jutri ne bo. Koraki zamrejo, ura okleva. Obstane. V topotu današnjega dne neslišen a trden korak odmeva. Saša Novak Ko pride čas, človek odide. In neko noč je za vedno odšel naš učitelj, kolega in prijatelj, prof. Kolar, ustanovitelj In dolgoletni vodja Odseka za keramiko na Institutu Jožef Štefan in redni profesor na FKKT Univerze v Ljubljani. Prepričana sem, da ni bilo med nami nikogar, ki bi samo pomislil, da se to lahko zgodi. Pa je res. Rojen je bil 15. septembra leta 1932. Na ljubljanski univerzi je leta 1957 diplomiral za inženirja kemije in leta 1964 dosegel naziv dr. kemijskih znanosti. Takoj po diplomi se je zaposlil na Institutu Jožef Štefan, leta 1965 ustanovil Odsek za keramiko, ga ustvarjal In ga vodil skoraj cio konca življenja. Leta 1972. je postal izredni profesor na Oddelku za kemijo FNT Univerze v Ljubljani, se tam tudi zaposlil in na Institutu ostal v dopolnilnem delovnem razmerju. Leta 1977 je postal redni profesor za anorgansko kemijsko tehnologijo. Na Institutu je ponovno začel delati s polnim delovnim časom lansko leto. Nihče med nami ni pomislil, da manj kot za leto. 62 Informacije MIDEM 30(2000)1, Ljubljana Bob, kot smo mu rekli vsi, je bil eden vodilnih strokovnjakov za keramične materiale. Mednarodno uveljavljen znanstvenik in njegov prijatelj Petzow je dejal: A Pioneer and Ambasador of Science of Ceramics. Še posebej se je ukvarjal z raziskavami keramične mikrostrukture in sintranja. O tem je objavil preko dvesto člankov. Iz Ljubljane je občasno odhajal v svet. Bil je gostujoči profesor na Univerzi v Notre Damu v Indiani, ZDA, na Univerzi Lomonosov v Moskvi, vodil je tečaj UNESCA v Trstu, pomagal je pri ustanovitvi Centra za keramične tehnologije v Bangaloreju. Izjemen je bil tudi njegov doprinos k razvoju celotnega raziskovalnega področja, ne le v Sloveniji, pač pa tudi nekdanji Jugoslaviji, saj je deloval na različnih odgovornih položajih v Raziskovalni skupnosti Slovenije in kasneje na MZT. Bob je vseskozi trdno verjel, da je potrebno znanje iz raziskovalne sredine prenašati v industrijo. Veliko truda je vložil v razvoj novih izdelkov, posebej elektronskih keramičnih komponent. Pokazal je, kako se lahko vrhunska spoznanja iz temeljnih raziskav prenaša v industrijo. Bob je bil zelo dober profesor. Ob tem, ko je vse stvari jemal resno, mislim, da je delo s študenti smatral za najpomembnejše in to so študentje čutili. Vzgojil je številne diplomante, 52 magistrov in 34 doktorjev. Dobil je vrsto nagrad, med katerimi sta mogoče najbolj žlahtni priznanje za Ambasadorja znanosti Republike Slovenije leta 1995 in Kidričeva nagrada za vrhunske raziskovalne dosežke leta 1982. S sodelavci je dobil tudi 8 nagrad Sklada Borisa Kidriča za patente in inovacije. Kot mednarodno priznan strokovnjak je bil sprejet v članstvo uglednih združenj kot npr. Academy of Ceramics in Academia Europaea ter izbran za titularnega člana Komisije lUPAC za kemijo trdnega stanja in visokotemperaturno kemijo. Ameriško keramično združenje mu je kot edinemu Slovencu in redkemu Evropejcu podelilo naziv "fellow". Bob si je vseskozi prizadeval za čim boljše sodelovanje med univerzo, institutom in industrijo. Verjel je, da ja tako prav. Vso svojo energijo je vložil v to. Le z izjemnim delom, garanjem, je bil lahko leta vzoren profesor in velik znanstvenik. Je izgorel? Zdaj ga ni več. Odšel je trden in pokončen človek. Nenadoma, brez umiranja, kot da se je odločil, da je tako prav. Pokončna smrt za pokončnega človeka. Mi na Odseku pa še vedno ne verjamemo. Takole, kakšen večer, ko bomo vsak v svojem kotu kaj delali, boste, Bob, prišli nazaj. Kam pa naj bi šli drugam, kot tja, kamor ste hodili skoraj vsak večer. Mi vas čakamo, trmasto in vztrajno, kot ste nas učili. Do takrat pa, kot smo si vedno rekli, "adijo" Bob. V Ljubljani, 16. 2. 2000 prof. dr. Marija Kosec Institut Jožef Štefan Jamova 39, 1000 Ljubljana 63 Informacije MIDEM 30(2000)1, Ljubljana KOLEDAR PRIREDITEV - CALENDAR OF EVENTS MARCH MARCH 14-15, 2000 11TH FRENCH MICROELECTRONICS FORUM, VERSAILLES, FRANCE Contact IMAPS France Tel: +33 1 39 67 17 73 Fax: +33 1 39 02 71 93 e-mail: imaps.france@wanadoo.fr MARCH 17, 2000 MICRODISPLAY AND SMART-PIXEL TECHNOLOGIES, LONDON, UK Organised by IEE professional group E13 (Optical technology and applications). Contact Dr Marc Desmulliez, Herriot-Watt University Tel: +44 131 451 3340 Fax: +44 131 451 3327 e-mail: m.desmulliez@hw.ac.uk MARCH 27-30, 2000 DESIGN, AUTOMATION AND TEST IN EUROPE, PARIS, FRANCE Conference and exhibition bringing together design automation researchers, users and vendors, as well as specialists in the design, test and manufacturing of electronic systems and circuits. Contact Conference Secretariat, European Conferences, Edinburgh Tel: +44 131 225 2892 Fax: +44 131 225 2925 e-mail: sue.menzies@ec.u-net.com web: www.date-conference.com APRIL APRIL 4-6, 2000 SEMICON EUROPA 2000, MUNICH, GERMANY Contact Kathleen Hauwaert, SEMI Europe Tel: +32 2 289 64 90 Fax: +32 2 511 43 45 e-mail: khauwaert@semi.org web: www.semi.org SEMINVEST EUROPA, MUNICH, GERMANY Contact Margaret Kindling, SEMInvest e-mail: mkindling@semi.org APRIL 6-7, 2000 MICRO ENGINEERING & NANOTECHNOLOGY II, LONDON, UK Conference bringing together industry, research and venture capital, with an emphasis on practical applications across disciplines. Contact Johanna Karmano, Access Conferences International Tel: +44 171 840 2700 Fax: +44 171 840 2701 e-mail: look@access-conf.com web: www.access-conf.com APRIL 18-20, 2000 EXPO ELECTRONICA 2000, MOSCOW, RUSSIA International trade fair for electronic components and production equipment industries. Contact Daniel Thurlow, ITE Group Tel: +44 20 7596 5213 Fax: +44 20 7596 5111 e-mail: dan.thurlow@ite-exhibitions.com web: wwv.ite-exhibitions.com/ind APRIL 25-28, 2000 22ND ANNUAL INTERNATIONAL CONFERENCE, SSA 2000, ARLINGTON, VA, USA Contact Lori Strong Tel: +1 703 790 1745 e-mail: lstrong@burkinc.com web: www.semiconductorsafety.org APRIL 26-28, 2000 INTERNATIONAL CONFERENCE & EXHIBITION ON HIGH DENSITY & SYSTEMS PACKAGING, DENVER, CO, USA Contact Angela Johnson Tel: +1 703 758 5160 Fax: +1 703 758 1066 e-mail: ajohnson@imaps.org web: www.imaps.org MAY MAY 1-4, 2000 2000 GAAS MANTECH CONFERENCE, WASHINGTON, DC, USA Contact GaAs Mantech Tel: +1 202 234 0700 Fax: +1 202 265 5333 web: www.gaasmantech.org MAY 22-24, 2000 FIFTH INTERNATIONAL SYMPOSIUM ON PLASMA PROCESS-INDUCED DAMAGE (P2ID00), SANTA CLARA, CA, USA Technical co-sponsors: IEEE/Electron Devices Society American Vacuum Society and Japan Society of Applied Physics. Contact Northern Californian Chapter of the American Vacuum Society Tel: +1 408 246 3600 Fax: +1 408 246 7700 e-mail: della@vacuum.org web: www.vacuum.org/nccavs/p2id.html 64