LJUBLJANA, APRIL 1987, LETNIK-GODINA 17, STEVILKA-BROJ 41 Strokovno društvo za mikroelektroniko, elektronske sestavne dele in materiale Stručno društvo za mikroelektroniku*, ^elektronske sastavne delove i materijale Del topografije računalniško načrtanega mikroelektronskega vezja ISKRA — DO MIKROELEKTRONIKA INFORMACIJE MIDEM Izdaja trimesečno Strokovno društvo za mikroelek-troniko, elektronske sestavne dele in materiale Glavni in odgovorni urednik Glavni i odgovorni urednik Tehnični urednik Tehnički urednik Uredniški odbor Redakcloni odbor Člani ¡izvršnega odbora MIDEM Članovi izvršnog odbora MIDEM Tajnik-sekretar Podpredsednik Podpredsednik Predsednik Tajnik-sekretar Podpredsednik Naslov uredništva Adresa redakcije Člani MIDEM prejemajo Informacije MIDEM brezplačno Po mnenju Republiškega komiteja za kulturo SRS številka 4210-56/79 z dne 2. 2. 1979 je publikacija oproščena plačila davka od prometa proizvodov. Tipkanje besedila: Metka Vidmar Tisk: Partizanska knjiga, Ljubljana Tisk ovojnice: Kočevski tisk, Kočevje Naklada: 1000 izvodov Izdaje tromjesečno Stručno društvo za mikroelek-troniku, elektronske sastavne delove i materljale Članovi MIDEM primaju Informacije MIDEM bes-platno Mišljenjem Republičkog komiteta za kulturu SRS broj 4210-56/79 od 2. 2. 1979 publikacija je oslobodena pla-čanja poreza na promet. Prepis teksta: Metka Vidmar Tisak: Partizanska knjiga, Ljubljana Tisak omota: Kočevski tisk, Kočevje Tiraž: 1000 komada Alojzij Keber, dipl. ing. Janko Čolnar Mag Milan Slokan, dipl. ing. Miroslav Turina, dipl. ing. Mag Stanko Šolar, dipl. ing. Dr Rudi Ročak, dipl. ing. Pavle Tepina, dipl. ing. Mr Vlada Arandelovič, dipl. ing. — Ei-Poluprovodnici, Niš Mr Mladen Arbanas, dipl. ing. — RIZ-KOMEL, Zagreb Franc Beravs, dipl. ing. — Iskra-Polprevodniki, Trbovlje Mr Željko Butkovič, dipl. ing. — Eiektrotehnički fakultet, Zagreb Jasminka Čupurdija, dipl. ing. — Rade Končar-ETI, Zagreb Mr Miroslav Damjanovič, dipl. ing. — VTI, Beograd Prof dr Tomisiav Dekov, dipl. ing. — Eiektrotehnički fakultet, Skopje Mihajlo Filiferovič, ing. — Mipro, Rijeka Prof dr Jože Furlan, dipl. ing. — Fakulteta za elektrotehniko, Ljubljana Mr Miroslav Gojo, dipl. ing. — RIZ-KOMEL, Zagreb Franc Jan, dipl.'ing. — Iskra-HIPOT, Šentjernej Mr Slavoljub Jovanovlč, dipl. Ing. — Ei-Poluprovodnici, Niš Alojzij Keber, dipl. ing. — Institut Jožef Štefan, Ljubljana Prof dr Drago Kolar, dipl. ing. — Institut Jožef Štefan, Ljubljana Ratko Krčmar, dipl. ing. — Rudi Čajavec, Banja Luka Mag Milan Mekinda, dipl. ing. — Iskra-Mikroelektronika, Ljubljana Mr Vladimir Pantovič, dipl. ing. — Ei-IRI, Zemun Ljutica Pešič, dipl. ing. — Institut Mihailo Pupin, Beograd Ervin Pirtovšek, dipl. ing. —■ Iskra IEZE, Ljubljana Dr Rudi Ročak, dipl. ing. —■ Iskra-Mikroelektronika, Ljubljana Dr Alenka Rožaj-Brvar, dipl. ing. — Iskra-Center za elektrooptiko, Ljubljana Pavle Tepina, dipl. ing. — Ljubljana Prof dr Dimitrije Tjapkin, dipl. ing. — Eiektrotehnički fakultet, Beograd Prof dr Lojze Trontelj, dipl. ing. — Fakulteta za elektrotehniko, Ljubljana Mag Stanko Šolar, dipl. ing. — Iskra-Avtoelektrika, Nova Gorica Mag Milan Slokan, dipl. ing. — Ljubljana Prof dr Ninoslav Stojadinovič, dipl. ing. — Elektronski fakultet, Niš Prof dr Sedat Širbegovič, dipl. ing. — Eiektrotehnički fakultet, Banja Luka Mr Srebrenka Ursič, dipl. ing.— Rade Končar-ETI, Zagreb Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Titova 50, 61000 LJUBLJANA telefon (061) 316-886, (061)329-955 VSEBINA - SADRŽAJ Alojzij Keber: TUDI INFORMACIJE MIDEM LAHKO PRIPOMOREJO K INTERNACIONALIZACIJI DELOVANJA DRUŠTVA MIDEM Michal J. Slaby, Jan A. Dziuban: MICRO MECHANIC S ON SILICON - SHORT REVIEW 3 Tomislav Svedek, Goran Božič: MOS/LSI FILTERI 15 Iztok Sorli: AVTOMATIZACIJA IN RAČUNALNIŠKO PODPRTA PROIZVODNJA INTEGRIRANIH VEZIJ 19 Djuro Koruga: MOLEKULARNA ELEKTRONIKA U JAPANU 28 Andrej Češnovar, Dušan Dolničar: RAZVOJ DOMAČE OPREME ZA PROIZVODNJO FERITOV 30 Mijo Šarlija: PRIKAZ REALIZACIJE PROIZVEDENIH AUTOMATA, UREDJAJA I ALATA S NAGLASKOM NA PROBLEMATIKU PROIZVODNJE ELEKTROKONTAKTNIH ELEMENATA, RELEJA, TE OBRADE ELEKTROVODIČA 33 Milan Slokan: JUGOSLOVANSKI METALURŠKI KOVINSKI SILICIJ KOT IZHODNA SUROVINA ZA POLPREVODNIŠKI SILICIJ IN ZA IZDELKE SILICIJEVE KEMIJE 34 Varužan M. Kevorkijan: POZIV NA FORUM O ŠKOLOVANJU KADROVA ZA ELEKTRONSKE MATERIJALE U JUGOSLAVIJI 40 Ljutica Pešič: PRILOG RASPRAVI O KNJIŽI "MIKROELEKTRONSKA REVOLUCIJA I DRUŠTVENE POSLEDICE" 42 Rudi Roč ak: POROČILO PREDSEDNIKA DRUŠTVA MIDEM NA SEJI IO DRUŠTVA 12.2.1987 V LJUBLJANI 43 Miroslav Gojo: ZAPISNIK 2. SJEDNICE IZVRŠNOG ODBORA MIDEM 45 Rudi Ročak: PLAN DELA DRUŠTVA MIDEM ZA LETO 1987 IN PRIPRAVE ZA LETO 1988 46 Iztok Šorli: SEJEM SEMICON/EUROPA V ZURICHU 47 Lojze Trontelj: OB ŠESTDESETI OBLETNICI ZDRAVKA BENDEKOVIČA, DIPL.ING. 49 SLOVO OD PROF. DR. EVGENA KANSKEGA - PIONIRJA RAZISKAV ELEKTRONSKIH SESTAVNIH DELOV 49 Rudi Ročak: SPISEK ČLANSTVA STROKOVNEGA DRUŠTVA MIDEM 50 Pavle Tepina: PROGRAM XV. JUGOSLOVANSKEGA POSVETOVANJA O MIKROELEKTRONIKI V BANJA LUKI 53 Monika Jenko: TEČAJ "OSNOVE VAKUUMSKE TEHNIKE" 54 TUDI INFORMACIJE MIDEM LAHKO PRIPOMOREJO K INTERNACIONALIZACIJI DELOVANJA DRUŠTVA MIDEM Društvo MIDEM oziroma njegove predhodne oblike združevanja jugoslovanskih strokovnjakov na področju elektronskih sestavnih delov, materialov in v zadnjih letih mikroelektronike že vrsto let uspešno privabljajo na naša posvetovanja strokovnjake z vseh štirih smeri sveta. Začetki so bili dokaj skromni« Pretežno so temeljili na osebnih povabilih tistih strokovnjakov, za katere smo za gotovo predvidevali, da bodo predstavili za našo strokovno publiko zanimive in koristne referate. Z razmahom posvetovanj o mikroelektroniki so ti začetki prerasli v posvetovanja z razmeroma bogato zastopano mednarodno udeležbo. Trdimo, da lahko tudi Informacije MIDEM pripomorejo k še večji vključitvi društva MIDEM v proces mednarodnega strokovnega sodelovanja, izmenjave izkušenj in pretoka koristnih strokovnih informacij. Pri tem si ne delamo utvar, da bomo uspeli kar na mah pritegniti takšne in drugačne strokovnjake iz inozemstva, ki bi nam pomagali popestriti vsebino, predvsem pa dvigniti strokovni nivo. V tej številki objavljeni članek je dokaz, da je možno izpeljati želeno izboljšavo, le vprašanje je, če premoremo dovolj vztrajnosti in poguma za takšno akcijo, saj vemo, da niti določene avtorje iz domovine ne moremo pritegniti k sodelovanju tako, kot si v začetku predstavljamo» Če bi nam uspelo pritegniti več tujih sodelavcev Informacije MIDEM, potem bi gotovo poraslo tudi število domačih strokovno obarvanih sestavkov. Prav s tem namenom in pa iz nekaterih tehniško organizacijskih ozirov smo v tej številki tudi nekoliko preuredili vsebino Informacije MIDEM. Poslej naj bi bili na začetku vsebine strokovni in strokovno informativni članki, medtem ko bomo novice o dejavnosti in organizacijskih oblikah društva MIDEM objavljali bolj v drugi polovici vsebine. Poskušajmo biti bolj strokovni, manj govorimo in več ter kvalitetno naredimo! Ali ste vsi naši člani za to? Urednik Alojzij Kober, dipl.ing. 3 MICROMECHANICS ON SILICON — SHORT REVIEW Michal J. Slaby, Jan A. Dziuban 1. Introduction The VLSI circuits constitute currently the most of the microelectronic production. The silicon chip covered with micron-size patterns may be considered as the symbol of electronics. Howewer, the microelectronic technology covers many others interesting and attractive areas of technics as micromechanics. The miniature electromechanical device made in IC's technology consists of two parts mechanical sensor (of vibration, pressure etc.) usually in the form of membrane or beam , and transformer which changes the deflection of membrane or beam into the usefull electrical signal. The silicon-based pressure sensors, accelerometers, vibration analysers, as well as the jet printers or miniature optical fiber couplers are becoming now popular in the wide range of applications. This paper presents a short review of micromechanical active and passive devices, following some basic information mechanical properties of silicon and on manufacturing methods of three-dimensional structures. The possible perspectives and present trends based on the author's experience and literature are also presented. 2. Silicon as material in micromechanics The basic material in micromechanics integrated is a thin monocrycrystalline silicon wafer, p or n type. Usually (100) or (110) oriented wafers are used. The wafer quality should be good and its thickness should be better controled than is usually done in the IC's technology. The wafers should be one or both sides polished (depending on the requirements), and the flatnes of the polished surfaces should be kept within +/-0.1^ura. Maximum disorientation usually should not exceed +/-0.25 p3 . 3. Mechanical properties Monocrystalline silicon shows quite good mechanical properties C2J (Tab. I). MATERIAL YOUNG'3 MODULUS Ex 1 ou a N' n( 2 > YIELD STRENGHT xl 0(S; Nxmi 2 > THERMAL EXPANSION xl8<-6^de I I_____ 91 KHOC I Hk X I 10(7 IN'n<2 .1 HHPl'HESS PI MÖÖSI I Hi', I 'I I A I BP I HELL Hb 10< 7 ; NxmC 2 Dlimont 103,5 _______ 53 1,2 I 9853 I 10 I Sir 70 21 3,3-5.2 I 2480 I I I I fl 1203 53 ¡5-4 ; 5,4 I I 2060 I I 1 9 I St»> Ii[ess St~H>l (max > 19,5-21 2-3,5 1 I I It. I 1472 I I I I I I I I I I 340 S13N4 38,5 14 1 0,3 I I I I I I Si 02 7,3 8,4 I I 0,5-0/6 I 478-I 1212 I I I 3 I I I W 40 4 I 1 4,5 I 475 I I I I I 111-245 110 34,3 2, 1 I I I 3/39 I 270 1 2 I I I I 150- 230 Si 19 7 I 2,33 1 833 I 7 I 240 "In both tension and compresions single-crystal silicon has a higher elastic limit than steel, on the other hand, when limit is reached silicon fractures whereas steel deforms inealastically. Monocrystalline silicon remain strong under repeated cycles of tension and compression whereas polycrystallline metal tends to weaken and break because stresses accumulate at the intercrystai boundaries" r:31. The high elastic limit of silicon and no breakes observed after a long work of mechanical. Parts make the silicon very attractive for micromechanics. 4. Piezoresistivity Piezoresistor with properly oriented edges with respect to the crystallographic direction in silicon wafer will strongly change its resistivity under the stress applied to the surface. Piezoresistive effect depends on the factors of the silicon [4J , misorientation of the resistor £151, dopant level and type of the conductivity in piezoresistor area L6 , 7 J . For a (100 ) oriented wafer the Piezoresistor should be oriented along the (110) axis and the stress should be parallel of perpendicular to the piezoresistor structure. 4 5. Anisotropic etching The structures of the mechanical parts are usually formed by means of the wet etching process. There are two types of etchants, isotropic and anisotropic. The isotropic ones etch silicon in the all possible directions with approximately the same etch rate and the resulting patterns depend on mask patterns. The anisotropic etchants show different etching rates for different crystalline directions . The degree of anisotropy is defined by the rations of etching rates for different crystalline planes. Generally, the (100) planes have the highest and the (ill) planes have the lowest etching rateC8J. The most popular anisotropic etchant is the water solution of KOH 119, lOj , because of its low toxicity compared with ethylenodiami-ne-pyrocatehol-water mixture LI 1, 12, 13 3. In the Table II are listed the most commonly used etchants, their anisotropy degrees and etching temperatures. 6. Typical structures Thin diaphragms, beams, springs and holes are the basic structures used in micromechanics. They are formed in silicon by anisotropic and/or isotropic etching processes. TABLE II ETCHfiNT I COMPOSITION I TEMPERATURE [•CD ETCH RRTE Qj.m'mrnT MASKING FILMS < 108 ) C 110 > I '.111; _____I __ KOH-509 I H2O-160ml I I SOP r OP a no 1 1 -50m I I 80 1 I I 0.91 1 I S 102.313N4 KOH-1003 I H2Û-100m1 I boi 11 ri9 ___ __ _ __ I 3 I Si 02 KOH—14* I H20-100ml I IscProPafiOl i 83 1.4 I 10.0035 I Si02,SiJN4 Na OH-1009 I H2Û--100m 1 I 80 1.2 I 2 10.2 ______ 1_ _ Si 02 NaOH-109 1 H20-100m1 I 63 0.25.. ..1.0 I I Si02,Si3N4 Ethylene- I d l ai l ne I !1H2'CH2,.2HH2I -510ml I H20-63ml I Pyrocate-- I Choi I CSH4< OH >2- I -31. 69 I Pyr*zyne I C4H4N2-39 I 1 10 0.? - _ I I 1 I I I I I I 1 I S102 . S13N4 HL; Cr-flii Ethylene- I rjimi-ne I NH2( CH2 J2HH21 -17ML I H20-8m1 I Pyroc its- I Choi I C6H4< OH >2- I -39 1 1 10 0.33 I I I 0.5 I 0.05 I 1 I I I Si02,Sl3N4 HI, Cr-Hij Hyo'rizyrie I N2H4-2S0ml I H20-140ml I 109 1.6 I I I 7. Special techniques The wet etching process of the three-dimensional structure fabrication should be carefully controlled. The degree of the anisotropy and the etching rate depends strongly on the process parameters. It is rather difficult to produce silicon structures with dimensions within narrow margin of tolerances simply by the etching time control. Therefore special techniques are involved for obtaining proper dimensions of structures. There are two main methods used: self-stopping and stop-diffusion. 8. Self-stopping When two of (ill) planes forming V-groove met together perpendicularly to the surface of (100) oriented wafer etching rate changes, from aprox. 0,7 ^um/rain to one hundredth of that value. This allows to design a process with the V-groove etching and to estimate time needed to obtain self-stopping effect. 9. Stop-diffusion The etch rate of the orientation dependent etching process decraeses rapidly on the boundary between weakly and strongly doped material areaC13, 14, 183. Although the mechanism of stopping is not quite known C15, 16, 17J, it has been suggested that the critical value of doping is 7x10(19) cm (-3) of boron. The stop-diffusion technique allows to fabricate very precise structures as thin membranes, beams, etc. in "self-controled" process of et-ching. 10. Pressure sensors Miniature solid-state pressure sensors become now the most popular micromechanical devices. Each sensor has a thin silicon diaphragms made in etching process. Pressure of fluid or gas deflects diaphragm. This causes stresses in the surface layer of the membrane. Stresses are transformed into the electrical signal by means of piezo-resistors located on the top surface of the membrane. Piezoresistors are diffused or ion-implanted. They are usually in the Wheastone's bridge configuration. A structure of piezoresistive pressure sensor is shown in the Fig. 1. \ 5 Mercuzotion i Reference Pressure i , nezoresistors the capacitive pressure sensors is aproximately 3 times higher C22, 233. Very small signal needs to be amplified in on-chip electronic circuit, made in the very neighboruhoud of the sensor by standard IC technology £24, 25 J . ! / E.ectrcsKrtic Seal t. Support external J~essure Fig. 1: Silicon piezoresistive pressure sensor 1100) n-Si The another way to transform the deflection of a diaphragm into a suitable electrical signal is to build an air gap capacitor with diaphragm covered by metalic layer as one, and a metalized glass plate as a second electrode. The air gap is usually 2 to 5 ^um. Glass plate is anodic bonded to the silicon £20J. A structure of a such Pressure sensor is shown in the Fig. 2. Metcil cation Externa! Metalized Membrane Pressure Reference Electrode r~7.........\r-..... Electrostatic Seal Support Reference Pressure ! Fig. 2: Silicon capacitive pressure sensor An electrical signal produced in a Wheastone's bridge in piezoresistive sensor has quite good linear pressure dependence . L 21 J. The signal level is high enough for direct measurement or use in a control system. However, the thermal drift and the long time instability are the serious problems. There are no thermal drifts in capacitive pressure sensors (compared with the piezoresistive sensors) but the electrical signal is very small. On the other hand, sensitivity of Fig. 3: Technological steps of pressure sensor fabrication the first oxidation, photolitography and anisotropic etching of V-grooves (a), diffusion of piezoresistors (b), contact metallization (c), back-side photolitography and anisotropic etching of membrane (d). Both piezoresistive and capacitive pressure sensors are manufactured in the similar way. Technological steps of the sensor manufacturing are schematically shown in the Fig. 3. More information about the technology of silicon membrane pressure sensors is given inC26, 27, 28, 29, 30, 313. Solid state membrane pressure sensors are used in many areas of the today technics, in motor-car industry, in chemistry. Beginning from 70's miniature blood pressure monitors have become very popular in medicine. A structure of sensor for biomedical application made by us, is shown in the SEM photography (fig. 4). The dimensions of the chip are 2x3x0,2 mm, the thickness of the membrane is 15^um. Miniature pressure sensor is mounted of the end of the catheter c 32, 33 J. The smallest sensor which has been produced up to now has dimensions 0,2x0,2x0,1 mm C34J . Recently, a pressure sensor based on a new principle of construction has been reported C35 J . A thin resonator vibrates with the frequency depending on the gas pressure. Vibrations of the resonator are excited electrically. The 6 I ^■nBHHi.^ jij iiiiMMitiTninini WII ______________________8 Mi ' ilisäplwspä« HI® I 111 I Fig, 4: The SEM photography of pressure sensor structure ends of the resonator touch the contacting plate inducing electrical pulses. Vibrating pressure sensor might be usefull in many applications, especially for low pressure gas measurements. // Beam ^ , 1100) --S, / -v— s p^zzap-f..... * \ i_3* C \ 'p v I C 11. Accelerometers The miniature acceleration and vibration sensors are widely used in variety of applications, e.g. in the machine industry (for the early detection of bearing failure), in car engines (for the detection of combustion knock), in the medicine (for the investigation of heart work in vivo), etc. In the most of devices the main element is the bar with one end fixed, thus being a mechanical oscilator with a definite resonant frequency. (The beams supported at the both ends are sometimes used for the special applications C36-1 . The deflection of cantilevered beam, caused by the external force, may be transformed into an electrical signal in the same way as in the pressure sensors. Thus one may distinguish piezoelectric, capacitive, piezo-resistive (or other) sensors. The sequence of piezoresis-tive accelerometer production steps is shown in Fig. 5. The largest stress induced by the cantilevered beam movement at its fixed end changes the resistance of piezore-sistor made by means of diffusion. The identical piezore-sistor is placed on the suport for the temperature compensation. The chip with the beam, piezoresistors and feeders is assembled with two glass plates of special shape (fig. 6.). The sensor with dimensions 2x3x0,6 mm and mass of 0,029 may monitor acceleration in the range from Fig. 5: Simplified fabrication procedure of the piezore-sistive accelerometer the first exidation, photo-litography and anisotropic etching of V-grooves (a) , the second oxidation, photolitography and p+ diffusion (b) , photolitography and diffusion of piezoresistors (c), opening of contact windows (d), back-side photolitography and anisotropic etching of beam with silicon mass 0,001 to 200 g. The nonlinearity is about 1%C37J. A SEM photograph of similar accelerometer made by us is shown in the Fig. 7. Fig. 6: The structure of silicon accelerometer mounted between two glass plates with special shape Fig. 7: The SEM photography of mechanical construction of the accelerometer A chip with many beams of various geometries (thus with various resonance frequencies) makes possible a vibration spectrum analysis without sophisticated electronic circuitry (Fig. 8.) £36, 373 . The Precise control of the beam geometry is possible due to the self-stopping anisotropic etching in the heavily doped p + areas. This method enables also for production of vibrating elements with more complicated geometry, eg. spiral (Fig. 9.)C36, 38, 39J. Another accelerometer design is shown in Fig. 10. The piezoelectric ZnO layer is deposited on the silicon beam. The charge appearing when the beam is deflected is capa-citively coupled with the gate of a FET transistor. Such ac-celometers allow to measure accleration up to 1000 g, frequencies to 40 kHz an their nonlineary is less than 0,8 %C40.1. Fig. 9: Cantilever with a thickness of 5^ura and total lenght of 4 mm folded into a spiral. Its resonant frequency is 100 Hz 136 J If the silicon bar is one electrode of a capacitor then the beam deflection appeares as the capacitance change. Such design is shown in Fig. 11. A simple detector of capacitance changes based on MOS transistors is placed in the same chipC413. Accelerometers that do not require detection are so-called thershold accelometers. These sensors constitute in fact a set of contacts which connect under a specific external force £423. This type of sensor is used in the range from several to 10(5)g. Fig. 10: Cross section of the beam portion of a piezoelectric accelerometer ¡140J / MU.-. ircuit Mprai-Coated Oyide Beom Fig. 8: Silicon vibration sensor with 7 cantilevers each maving another resonant frequency Fig. 11: Schematic of completed capacitive accelerometer coupled with a MOS detection circuit 8 12. Gas composition detector A gas composition detector has been designed as a micro-mechanical devicei;433. The silicon bridge is etched over the cavity in (110) oriented silicon wafer. A structure is covered with an organic material absorbing gases. This material increases ist weight after absorbtion of gases from atmosphere. After absorbtion of gases, the self-resonant frequency changes proportionally to amount of adsorbed gas. 13. Optical fibre connectors and splices The main parametr describing connection quality of two fibres, in any type of optical fibre connectors is the loss of light transmission caused by: - axial fibre misalignment, angular misalignment between the two fibre axes, separation between the two fibre endfaces. Quality of mi-cromechanical construction for optical fiber connector should be very high to keep losses on the level of 0,2 to 0,3 dB. For example, an axial (transverse) offset of around 30 % (equivalent to 15^um for fibre with core diameter of 50^,um) may introduce a losses of approximately 2 dB C44J. The mechanical component produced in the anisotropic etching process allow to connect two optical fibers with an accuracy better than l^um C45 3. In our Institute structures with V-shaped grooves have been made (Fig. 12.). An undercutting and self-stopping effects have been used to shape the ends of V-grooves. The silicon chip with V-grooves has been mounted in mechanical hou- Fig. 12: The end part of microconstruction for fiber connector made in one etching process sing. The optical fibre connector obtained in this way has losses (0,3 dli). 'Hie groove technique is particularly suitable for obtaining substrates with multiple guides required to splice several fibres contained in one cable C46J. A multifibre splice has been made in Bell Laboratoryc473 . 14. Ink Jet Printer In these printers fine jets of ink (l0-100yjm in diameter) are formed by forcing ink under pressure through an array of nozzles. The jets break up into streams of uniformly spaced droplets. Each droplet can be deflected or allowed to pass undeflected to the paper depending on whether or not it has been charged. The charge on a dropled is proportional to the voltage applied to the charge electrode surrounding the jets at the point of drop formation. Each of the charge electrodes in the array must be electrically connected to an independent signal source, thus allowing the production of arbitary patterns, and all the nozzles should satisfy rather stringent structural uniformity requirements in order to achieve accurate placement of the drops. The nozzles are formed by etching pyramidally shaped holes through (100) Si wafer f48J. The charge electrode consists of an array of trapezoidal slots anisotropic ally etched in a (110) Si n-type wafer. The si-dewalls of these slots as well as addres lines on the surface are heavily doped (deep p+ diffusion). The structure is passivated with the layer of Si02 except the contact areas at the ends of each address line (Fig. 13) [493. Another construction of integrated planar ink-jet nozzle structure has been reported by Petersen C50J . The nozzle Fig. 13: A structure of ink jet printer £492 9 is formed by shallow isotropically etched groove connected with a large cavity. The large cavity anisotropically etched in the (110) Si wafer is sealed on top and battom by the anodic glass-silicon bonding procedure. The top thin glass foil acts as the pump diaphragm (Fig. 14). Fig. 14: Schematic of completed nozzle structures with top glass plate serves as the pump diaphragm 15. Sieves and filters The submicrometer electron or x-ray litography together with anisotropic etching makes possible the production of fine silicon sieves and filters. In the Fig. 15 an example of microsieve is shown. This microsieve has been produced by the etching of vertical grooves on both sides of the (110) Si wafer. These grooves on one side are perpendicular to the grooves etched on the other side. Another geometry is used for production of the filters made from (100) oriented wafers (Fig. 16). The presence of p-n jun- Fig. 15: Microminiature sieve obtained by etching halfway through a (110) slice from each side ction allows for some control of the liquid flow through the filter due to the turbulences caused by non-uniform heating of the structure under the current flow. The filter geometry may be such that the turbulances force liquid circulation as shown in the Fig. 16. Such a structure shows properties of a miniature pumpC5l3. 16. Micros witch In a (HO) oriented, oxidized silicon wafer, very thin silicon dioxide beams over the cavity in silicon are formed in the wet etching process in EDP etchant u52X Beams are covered with metal layers. An air gap capacitor is than formed, with metal and silicon as electrodes. At the end of the beam and on the surface of silicon are formed switching electrodes. A supply voltage deflects beam toward cavity bottom, by electrostatic forces induced in the air-gap capacitor, and switching electrodes coupled together. Overall dimensions of the device are approx. 100x50 ^um, with an air gap of 5^,um. Switching current depends on the area of the switching electrodes and may be as high as 10 mA. Supply voltage is up to 70V. Switching frequency reaches to 100 kHz. Control circuit is electrically isolated from the switching electrodes. The memory effect has been observed. Microswitches are expected Fig. 16: Schematic of liquid filter with diode structure to become additional equipment in the integrated circuits. A structure and technology of integrated microswitch is presented in the Fig. 17. 10 A. Contacting ^Electrode Deflecting Electrode Etched Cavity Fig. 17: A. The single contact low-current design of mi-cromechanical switch/ of alphanumerical symbols. Maximum frequency of work is approx. 50 kHz for lines and 50 to 100 kHz for screen. Supply voltage up to 70V is needed. An electricall system with microprocessor should be used for steering and controlling of the system. A. Metat Coated SiC^ Beams Connections Epi p* Lay1 p Si Layers 6. x-Photor€sst Layers -2nd Au-Cr for Plating Bose --Photoresist Loyrrs Plated Au Ji Cross sectional diagrams of micromechani-cal switch at various stages of fabrication procedure first metal etch and oxide etch (a), evaporation of Au-Cr (b), selective Au plating (c), finished structure after photoresist stripping, removal of excess plating base, and anisotropic etch Fig. 18: A. Schematic of multi-beam light modulator array 6. Beam Forming Optics 16- Element Modulator Array Ground-Glass pen 17. Light modulator B. Schematic of optical display employing the multibeam array showed in Fig. 18.A. L53H It has been suggested in C533that light modulator based on the microswitch structure, described above may be produced. A system of thin silicon-dioxide beams covered with a reflecting layer for the visible laser light is the es-sensial part of scanning projectile system presented in the Fig., 18. We sugest a matrix of thin beams in the form More sophisticated design of the projection-tube display is described by R.N. Thomas at el. C54J. The device consist of a set of electrostatically adjusted miniature mirrors. A high density matrix (about 400 mirrors/mm (2)) is placed inside oscilloscope tube instead of the screen. The charge delivered by the electron beam to the mirrors causes their deflection. The oscilloscope is illuminated by the strong light source from the outside by means of proper optical system. Particular points in the reomote screen are light or dark depending on the mirror positions (Fig. 19A). Each miniature mirror matrix is made of a thin (+/- 300 nm) Si02 membrane on a monocrystalline Si pedestal (about 4-5^,um thick). The reflecting layer is an aluminium layer 30 nm thick, deposited on a membrane by means of vacuum evaporative. The whole is placed on transparent sapphire substrate. A procedure of the mirror production is shown in Fig. 19B. Such a display showed the resolution of 400 lines and the 15:1 contrast. In addition the single picture recorded in the 1/30 sec may be kept for many hours. A . Projection System Fig. 19: A. Schematic of display system using mirror-matrix light valve 8. B. Simplified fabrication scheme of the target, two oxidation and photolitographic pattern definition (a), (b), etching of wafer (c), metallization (d) 18. Cooling of the integrated circuits The problem of the heat removal from the integrated circuits is one of the most important to be solved if further C. C. View of individual light valve miniaturization will be performed, especially in fast bipolar logic devices. The highes heat dissipation possible in standard assembly techniques is estimated about 20 W/ cm (2). The essential improovement in this field is the forced cooling as shown in Fig. 20. In the (110) oriented substrate the deep and narrow grooves with rectangular profile have been etched. Such a chip was bounded to a glass plate by means of the anodic bouding. These channels are then used for cooling liquit best results have been obtained for grooves 50^,um appart. The test showed that for surface of 1 cm (2) the thermal resistance was 0.1 K/W for the cooling water flow of 10 cm2/sec. The power dissipation ability was 600 W/cm^i:23. It seems possible that the use of these simple and not expensive cooling methods may make possible a production of the integrated circuits containing in one package some 25000 gates 10 mW each (i.e. 250 W of the total power) C23. Fig. 20: Schematic view of a compact heat sink incorporated into an integrated circuit chip. 12 19. Summary It is impossible to list all the future application of the mi-cromechanical devices. In the integrated circuit technology a possibility of high-value capacitors C55, 56, 57Jand inductances C583appeared due to the precise etching. The development of surface increases the efficiency of the solar cells £59, 603. The all silicon masks are used in x-rayC61, 62, 633and ion lithography £643, as the shadow masks in the molecular epitaxy £373 or evaporation masks C653 . Of course, it is possible to make much more complicated devices as the gas chromatography analyzer C663, miniatiure cryogenic refrigarators c673X-ray laser t683or tactile imagers for robots £69 3. References CI"] J. A. Dziuban, M.J. Slaby, "Three-dimensional pressure silicon sensor - technological analysis" in proceed. of 14th Yugoslaw Conf. Microelectronic, Beo-grad, May 1986 C23 K.E. Petersen,"Silicon as a mechanical material" Proceeding of the IEEE, vol 70, pp. 420-457, 1982 C3J J.B. Angell, S.C. Terry, P.W. Barth, "Silicon mechanical devices" Sci. Amer., April 1988, pp. 44-56 [143 Y. Kanada, "A graphical representation of the piezo-rezistance coefficients in silicon", IEEE Trans. Electron. Devices, vol. ED-29, January 1982, pp. 64-70 C57 O.N. Tufte, P.W. Chapman, D. Long, "Silicon diffu-sed-element piezoresistive diaphragms", J. Appl. Phys., vol. 33, Nov. 1962, pp. 3322-3327 £6j K. Yamada, M. Nishihara, S. Shimada, M. Tanabe, "Nonlinearity of the piezoresistance effect of p-type silicon diffused layers", IEEE Trans. Electron. Dev. Vol. ED-29, No 1, Jan. 1982, pp. 71-77 C7J O.N. Tufte, E.L. Stelzer, "Piezoresistive properties of silicon diffused layers", J. Appl. Phys. , Vol. 34 Feb. 1963, pp. 313-316 C83 R.M. Finne, D.L. Klein, "A water-amine-complex-ing agent system for etching silicon", J. Electrochem. Soc. vol. 114, 1967, pp. 965-970 C.9] J.B. Price, "Semiconductor silicon", H.R. Huff and R.R. Surges Editors, p. 339. The Electrochem . Society Softbound Proceeding Series, Princeton, N.Y. 1973 LIOJ K.E. Bean, "Anisotropic etching of silicon" IEEE Trans. Electron Devices, vol. ED-25, No 10, Oct. 1978, pp. 1185-1193 [113 A. Reisman, H. Berkenblit, S.A. Chan, F.B. Kaufman, D.C. Green, "The controled etching of silicon in catalized ethylene diamine Pyrocatechol-water solutions", J. Electrochem. Soc., vol 126, 1979, pp. 1406-1415 C12J D.B. Lee, "Anisotropic etching of silicon", J. Appl. Phys., vol. 40, No 11, Oct. 1969, pp. 4569-4574 £133 H. Seidel, L. Csepregi, "Studies on the anisotropy and selectivity of etchants used for the fabrication of stress-free-structures", The Electrochem. Soc. Extended Abstracts, vol. 82-1, 1982, pp. 194-195 C143 I. Barycka, H. Teterycz, Z. Znamirowski, "Sodium hydroxide solution shows selective etching of boron doped silicon" J. Electrochem. Soc., vol 126, No 2, 1979, pp. 345-346 £153 A. Bohg, "Ethylene diamine-pyrocatechol water mixture etching anomally in boron-doped silicon", J. Electrochem. Soc., vol 118, No 2, Feb. 1971, pp. 401-402 L163 N.F. Raley, Y. Sugiyama, T. Van Duzer, "(100) silicon etch-rate dependence on boron concentration in ethylene-diamine-pyrocatechol-water solutions " J. Electrochem. Soc., vol 131, 1984, pp. 161-171 L17J E.D. Palik, J.W. Faust, H.F. Gray, R.F. Greene, "Study of the etch-stop mechanism in silicon", J. Electrochem. Soc. vol 129, No 9, Sept. 1982, pp. 2051-2059 1181 E.D. Palik, V„M. Bermudez, O.J. Glembocki, "Ellipsometric study of the etch-stop mechanism in heavily doped silicon" J. Electrochem. Soc. , vol 132, No 1, Jan. 1985, pp. 135-141 [195 H. Seidel, L. Csepregi, "Etch-stop mechanism of highly boron-doped silicon layers in alkaline solutions", The Electrochem. Soc. Extended Abstracts, 1985, pp. 839-840 [20] G. Wallis, D.I. Pomerantz, "Field assisted glass-metal sealing", J. Appl. Phys., vol 40, No 10, Sept. 1969, pp. 3946-3950 C2l] S.C. Kim, K.D. Wise, "Temperature sensitivity in silicon piezoresistive pressure transducers", IEEE Trans. Electron. Dev., vol ED-30, No 7, July 1983, pp. 802-810 C222 K.W. Lee, K.D. Wise, "SENSIM:A simulation Program for solid-state Pressure sensors", IEEE Trans. Electron. Dev., Vol ED-29, No 1, Jan. 19 82, pp. 34-41 L233 W.H.Ko, M.H. Bao, Y.D. Hong, "A high sensitivity integrated circuit capacitive pressure tranducer", IEEE Trans. Electron. Dev., vol ED-29, No 1, Jan. 1982, pp. 48-56 [24] W.K.Ko, B.X. Shao, at el. , "Capacitive pressure 13 transducers with I.C." Sensors and Actuators vol 4, No 3, Nov. 1983, pp. 403-411 £25J J.M. Borky, K.D. Wise, "Integrated signal conditioning for silicon-pressure sensors", IEEE Trans. Electron Dev. , vol ED 26, Dec. 1979, pp. 1906-1910 C267 J. Bryzek, "Approching performance limits in silicon piezoresistive pressure sensors, Sensors and Actuators, vol 4, 1983, pp.669-678 C27J M. Esahi, H. Komatsu, T. Matuso, "Biomedical pressure sensor using buried piezoresistors" Sensors and Actuators, vol 4, No 4, Dec. 1983, pp. 537-544 L283 T. Ishihara, M. Hirata, K. Suzuki", MOS integrated silicon Pressure sensor", IEEE Trans. Electron. Dev., vol ED-32, No 7, July 1985, pp. 1191-1195 L'293 C.S. Sander, J.W. Knutti, J.D. Meindl, "A monolithic capacitive pressure sensor with pulse period output", IEEE Trans. Electron. Dev., vol ED-27, No 5, May 1980, pp. 927-930 C30J Samaun, K.D. Wise, J.B. Angell, "An piezoresistive pressure sensor for biomedical instrumentation", IEEE Trans. Biomed. Eng, vol. BME-20, March 1973, pp. 101-109 L3fl Y.S. Lee, K.D. Wise, "A batch-fabricated silicon capacitive pressure transducer with low temperature sensitivity", IEEE Trans. Electron. Dev., vol ED-29, No 1, Jan. 1982, pp. 34-41 C323 M. Esahi, at el. , "Fabrication of catheter-tip and si-dewall miniature pressure sensors", IEEE Trans. Electron. Dev., vol ED-29, No 1, Jan. 1982, pp. 57-63 ¡1333 W.H.Ko, J. Hynecek, S.F. Boettcher, "Development of a miniature pressure transducer for biomedical application", IEEE Trans. Electron. Dev., vol. ED-26, Dec. 1979, pp. 1896-1906 C343 H. Guckel, D.W. Burns, "Planar processed polysi- con sealed cavities for pressure transducer arrays", in proc. of IEDM, San Francisco, Dec. 1984, pp. 223-226 Z35J J.C. Greenwood, "Etched silicon vibrating sensor", J. Phys. E., vol. 17, No 8, Aug. 1984, pp. 650-652 1363 W. Benecke, L. Osepregi, A. Hellberger, K. Kuhl, H. Seidel, "A frequency-selective piezoresistive silicon vibration sensor", in Proceed. International Conf. Solid State Sensors and Actuators 85', Boston 1985 t'373 L.M. Roylance, J.B. Angell, "A batch-fabricated silicon ac c el ero meter" , IEEE Trans. Electron. Dev., vol. ED-26, No 12, Dec. 1979, pp. 1911-1917 I.38J L. Csepregi, H. Seidel, "Silicon sensor-possibilities of their manufacture and application", Electrochem Zeitschrift etz., Bd. 105, H.15, Aug. 1984, pp. 792-795, (in German) £393 L. Csepregi, "Micromechanics : A silicon microfa-brication technology" , Microelectronic Engineering, vol. 3, No 1-4, Dec. 1985, pp. 221-234 £40J P.L. Chen, R.S. Muller, atal., "Integrated silicon microbeam PI FET accelerometer", IEEE Trans. Electron. Dev., vol. ED-29, No 1, Jan. 1982, pp. 27-33 L41J K.P.E. Petersen, A. Shartel, N.F. Raley, "Microme-chanical accelerometer integrated with MOS detection curcuity", IEEE Trans. Electron. Dev., vol. ED-29, No 1, Jan. 1982, pp. 23-27 L423 W.B. Frobenius, S.A. Zeitman, M.W. White, D.D. O'Sullivan, R.G. Hammel, "Microminiature ganged threshold accelerometers compatible with integrated circuit technology", IEEE Trans. Electron. Dev. vol. ED-19, No 1, Jan. 1972, pp. 37-40 ¡14317 R.T. Howe, R.S. Muller, "Integrated resonant-mi-crobridge vapor sensor", in Proc. of IEDM (San Francisco, Dec. 1984), pp. 213-217 £44J G. Knoblauch, H.N. Toussaint, "Connectors for fiberoptic components and system", Siemens Telkom. Report. , vol. 6, Oct. 1983, "Optical communications", pp. 96-101 C453 C.M. Schroeder, "Accurate silicon space chips for an optical fiber cable conector", Bell. Syst. Techn. J., Vol. 57, No 1, 1978, pp. 91-97 £467 F. Esposto, E. Vezzoni, "Connecting and splicing techniques in optical fibre communication", Torino 7 Italy, McGraw-Hill, 1981 [47J C.M. Miller, "Fiber optic array splicing with etched silicon chips", Bell. Syst. Techn. J., vol. 50, No 1, Jan. 1978, pp. 75-90 [48J H.H. Taub, L. Kuhn, "Ink jet printing nozzle arrays etched in silicon", Appl. Phys. Letters., vol. 31, July 1977, pp. 135-137 L492 L. Kuhl, E. Bassous, R. Lane, "Silicon charge electrode array for ink jet printing", IEEE Trans. Electron. Dev., vol. ED-25, No 10, Oct. 1978, pp. 1257-1260 C50H K.E. Petersen, "Fabrication of an integrated planar silicon ink-jet structure", IEEE Trans. Electron. Dev. vol. ED-26, No 12, Dec. 1979, pp. 1918-1920 [5l2 D.L. Kendall, "Vertical etching of silicon at very high aspect rations", Ann. Rev. Mater. Sci, vol. 9, 1979, pp. 373-403 032J K.E. Petersen, "Dynamic micromechanics on silicon techniques and devices", IEEE Trans. Electron. Dev. , vol. ED-25, No 10, Oct. 1978, pp. 1241-1249 £533 K.E. Petersen, "Micromechanical light modulator array fabricated on silicon", Appl. Phys. Letters, vol. 31, No 8, Oct. 1977, pp. 521-523 C543 R.N. Thomas, J. Guldberg, H.C. Nathanson, P.M. Malmbery, "The mirror matrix tube, A novel light 14 valve for projection displays", IEEE Trans. Electron. Dev., vol. ED-22, No 9, Sept. 1975, pp. 765-775 t'553 D.L. Kendall, W. T. Matzen, "Large value capacitor", US Patent 3, 962, 713, 1976 C56J D.L. Kendall, W.T. Matzen, "Large value capacitor", US Patent 4, 017,885, 1977 L57J D.L. Kendall, M.M. Judy, US Patent 4, 065,742, 1977 C583 D.L. Kendall, "A method of making a solid state inductor", US Patent 3, 881,244, 1975 L'593 J.F. Wise, "Vertical junction hardened solar cell" , US Patent 3, 690,953, 1972 C601 D.L. Kendall, F.A. Padorani, K.E. Bean, T. Matzen "Vertical multijuction solar cell", US Patent 3, 969,746, 1976 L613 A. Heuberger, "X-ray lithography", Sol. Stn. Techn. , Feb. 1976, pp. 93-101 L623 S. Iwanatsu, "X-ray lithography mask", US Patent 4, 401,739, 1983 L'633 G.J. Schmidt, P.V. Lenzo, E.G. Spencer, "Preparation of thin windows in silicon masks for x-ray lithography", J. Appl. Phys., vol. 46, No 9, Sept. 1975, pp. 4080-4082 C643 G. Strengl, H. Loschrer, J.J. Muray, "Ion projection lithography", Sol. Stn. Techn., Feb. 1986, pp. 119-126 £653 J. Benjamin, J. White, "Electron collimating structures evaporation masks and vacuum chucks made by anisotropic wet etching of silicon", Microelectronic Engineering, vol. 3, 1985, pp. 235-241 C663 S.C. Terry, J.H, Jerman, J.B. Angel, "A gas chromatographic air analyzer fabricated on a silicon wafer" , IEEE Trans. El. Dev., vol. ED-26, No 12, Dec. 1979, pp. 1880-1886 C67,3 W.A. Little, "Design and construction of microminiature cryogenic refrigarators" , in AIP Proc . of Future Trends in Superconductive Electronics (Univ. of Virginia, Charlottesville, 1978) n68J A.M. Hawryluk, D.R. Clarlo, G.D. Rambach, "X-ray laser fabrication by anisotropic etching of silicon", J.Vac. Sci. Techn., vol. 3, No 1, Jan/Feb. 1985, pp. 276-281 [692 K. Chun, K.D. Wise, "A high performance silicon tactile-imager based on a capacitive cell", IEEE Trans. Electron. Dev., vol. ED-32, July 1985, pp. 1196-1201 Address: Dr. Michal J. Slaby Dr. Jan A. Dziuban Institute of Electron Technology Technical University of Wroclaw Ul. Janiszewskiego 11/17 53-370 Wroclaw, Poland 15 MOS / LSI FILTER! Tomislav švedek, Goran Božič 1. UVOD Filteri su obično samo dio sistema za procesiranje signala. U diskretnoj tehnici filteri se izvode na više načina ovisno o koncepciji cijelog sistema i zahtjevanim karakteristikama. Na niskim frekvencijama to su obični aktivni RC filteri kod kojih se kao elementi koriste pasivne R i C komponente, a kao aktivne monolitna operaciona pojača-la. Na višim frekvencijama i dalje prevladavaju klasični LC filteri. U industrijskoj elektronici radi se obično o filterima nis-kih frekvencija koji se izvode na štampanoj pločici pomo-ču diskretnih komponenata ili u najboljem slučaju kao hibridni sklopovi u tehnici debelog ili tankog filma. Pokušaji da se aktivni RC filteri izravno integriraju u mo-nolitnoj formi nisu mogli dati rezultate iz dva razloga: 1) kod niskih frekvencija R i C komponente su velikog iz-r nosa (velika površina silicija) i 2) vremenska konstanta RC mora biti vrlo precizna (neo-visna o varijacijama tehnološkog procesa). Da bi se aktivni filteri mogli uspješno integrirati u monolitno j tehnici potrebno je razviti potpuno novu metodu (metode) projektiranja od koje se traži da zadovolji slijedeče uvjete: 1) Metoda mora biti kompatibilna sa VLSI tehnologijom čija cijena stalno opada uz istovremeno poboljšanje svih karakteristika. U posljednje vrijeme sve se više napora ulaže u pojednostavljenje postupka projektiranja VLSI sklopova. Jedan od takvih pristupa korišten kod digitalnih integriranih sklopova je i pristup pomoču "standardnih če-lija". Elementarni blokovi analognih i/ili digitalnih funkcija su predprojektirani te se kod projektiranja sklopa njima koristimo kao gotovim blokovima (črnim kutijama). Metoda projektiranja filtera morala bi omogučiti isto ta-kav pristup gdje bi projektant filtera projektirao filter pomoču več prije predprojektiranih struktura - čelija (kao što su npr. operaciona pojačala). U tom slučaju bi projektiranje zadržalo jednostavnost projektiranja u diskretnoj tehnici. 2) Metoda mora omogučiti projektiranje filtera sa velikom imunošču na parazitne efekte, varijaciju tehnološkog procesa i promjenu uvjeta okoline. 3) Metoda mora pružiti mogučnost obrade signala niske razine. 4) Osigurati dobar faktor potiskivanja smetnji iz izvora napajanja, malu potrošnju i malu površinu. Do danas su razvijene tri principijelno potpuno različite metode projektiranja, odnosno tri nove vrste MOS/LSI filtera (uz nekoliko podvarijanata). To su - digitalni filteri, - SC (engl. Switched Capacitor) filteri, te - MOSFET-C (engl. MOSFET-Capacitor) filteri. Svaka od tih metoda zadovoljava, više ili manje, gore navedene uslove. LSI sklopovi u industrijskoj elektronici (a i šire) sadrže kombinaciju analognih i digitalnih dijelova. Koji od gore navedenih tipova filtracije izabrati za integraciju ovisi o koncepciji cijelog LSI sklopa. Na slici 1 prikazane su tri moguče varijante. COff'w,vOU5' time filter -V" (a) continuous- antialiasing filter swickto capacitor Filter CON TlNUOUS- TIM£ SMOOTHING FILTER lb) CONTINUOUS- time antialiasing filter continuous-time smoothing filter -V" (c) Slika 1: Varijante filtracije u MOS/LSI tehnici (lit.l). U prvoj varijanti radi se o potpuno analognoj obradi signala. Kao filter se može koristiti MOSFET-C filter, budu-či da se radi o vremenski kontinuiranoj filtraciji. Druge dvije varijante pružaju obradu signala u vremenski diskontinuiranoj formi. Kod SC filtera sam filter uzorku- 16 je signal (vremenski ga kvantizira). Radi toga je potrebno na ulazu osigurati filter koji če ograničiti visokofrenvent-ne komponente signala da bi se spriječilo njihovo prodiranje u koristan opseg frekvenc ija uslijed procesa uzorkova-nja (engl. antialiasing filter). Na izlazu je potreban tako-djer vremenski kontinuiran filter koji če "izgladiti" stepe-ničasti signal filtera i prigušiti visokofrekventni šum (engl. smoothing filter). Isto vrijedi i za digitalni filter koji osim ta dva filtera još zahtjeva blok A/D i D/A konverzije. Očito je da digitalne filtere treba koristiti pretežno tamo gdje su več svi signali u digitalnoj formi, dok preostale dvije metode treba oda-brati u ovisnosti o arhitekturi cijelog sistema na čipu. 2. MOSFET-C FILTERI MOSFET-C filteri predstavljaju potpuno novu vrstu filtera u CMOS tehnologiji. Bazira ju se na korištenju MOSFET-a kao naponski upravljivog otpornika, a omogučavaju obra-du signala u vremenski kontinuiranoj formi (lit.l). Popularne tehnike filtracije kojima se realiziraju SC i digitalni filteri nisu bez problema. Kod obje tehnike su to prvenstveno vremenski kontinuirani filteri na ulazu i izlazu (antialiasing i smoothing filteri). Kod SC filtera je to i mogučnost prodiranja signala takta na izlaz filtera gdje se mješanjem sa korisnim signalom stvaraju harmonici koji upadaju u propusni opseg filtera. Osim toga, na višim frekvencijama kvare se karakteristike filtera zbog konač-nog otpora sklopke, ali i vremena uspostavljanja izlaza operacionog pojačala. I konačno, projektiranje SC filtera sa stvarnim a ne idealnim elementima za sada još uvijek traži mnogo vremena i obično zahtjeva nekoliko iteracija. Digitalni filteri su bez svake sumnje idealni u uvjetima gdje več postoji digitalni signal. U protivnom su kod njih osim več spomenutih ulaznih i izlaznih vremenski kontinuiranih filtera potrebni i sklopovi A/D i D/A konverzije koji uvjetuju ograničenje površine filtera buduči da se skali-ranjem (istovremenim smanjenjem svih dimenzija elementa) mogu smanjiti samo dimenzije digitalnog ali ne i ana-lognog dijela. Osim toga, digitalizacija unosi i šum kvan-tizacije. Prednost vremenski kontinuiranog filtera (kao što je MOSFET-C) leži u nepostojanju svih gore navedenih problema. 2.1. MOSFET kao naponski upravi j ivi otpor-n i k Več je prije rečeno da se precizni RC filteri ne mogu iz-ravno implementirati u MOS tehnici ako so koriste kon-denzatori i neugodivi otpornici, buduči da u tom slučaju rezultantna RC konstanta široko varira sa tehnološkim procesom i temperaturom (od 50 do 100 %). Kod ove tehnike filtracije MOS tranzistori se koriste kao naponski uprav-ljivi otpornici koji se pomoču kontrolnog sistema ugradje-nog u samom integriranom sklopu mogu automatski ugadja-ti na vrijednost koja precizno podržava traženu RC kon-stantu. "C I O- JTL -O V2 Slika 2: MOS tranzistor kao naponski upravljan otpornik (lit. 1). Na slici 2. je prikazan MOS tranzistor sa karakterističnim naponima na elektrodama za ovaj mod rada. Sve u-pravljačke elektrode MOS tranzistora koji se koriste u tom modu spojene su u zajedničku točku na koju djeluje istosmjerni upravljački napon V^ (obično 3-5V). Podloge tih tranzistora su takodjer spojene zajedno u jednu točku na koju djeluje konstantni napon V (obično -5V). Da bi tranzistori radili isključivo u triodnom području naponi VI i V2 ne smiju biti preniski (> V ) kako ne bi propusno B polarizirali pn spoj uvoda i odvoda sa podlogom, niti smiju biti previsoki K V^) da ne bi doveli tranzistor u zasičen je. Uz takve pretpostavke i u/ mali signal na VI i V2 MOSFET se ponaša kao otpornik čija je vrijednost otpora jednaka: R = l/2k (Vc- V0) (1) Vrijednost tog otpornika se može podesiti fiksno prilikom projektiranja pomoču konstante k koja je proporcionalna omjeru širine (W) i duljine (L) kanala MOS tranzistora. Vrijednost otpornika se dodatno može mijenjati pomoču upravljačkog napona V^. 17 Za velike ulazne signale struja kroz tranzistor ima i ne-linearnu komponentu tako da se nadomjesna shema MOS-FET otpornika može prikazati kao na slici 3. , gdje je: I,g, » - k«., (vi - v;? ■•> . (2) ■tO- R —Wr ■0*2 Slika 3: Nadomjesna shema MOSFET otpornika (lit. l). Prema tome MOSFET se može koristiti kao linearni otpor-nik samo ako se na neki način poništi ta nelinearna komponenta. Postoji nekoliko različitih spojeva kojima se posti-že djelomično ili potpuno poništenje te komponente. Naj-češče se koristi princip sa slike 4. v*o pona izmedju dva izlazna terminala, ali napon izmedju svakog terminala i zemlje nije definiran). Kod potpuno si metričnog operacionog pojačala naponi izmedju svakog iz-laznog terminala i zemlje su potpuno simetrični. Takvom strukturom se poništavaju samo parne nelinearnosti ali ne i neparne. Neparne komponente se mogu poništiti pove čanjem napona podloge V . Radi neidealne uparenosti tran D zistora u simetričnoj strukturi ostaje još uvijek djelomično prisutna nelinearnost drugog reda. Osnovna MOSFET-C struktura je potpuno simetričan integrator (linearni svi ulazi i izlazi). Bilo koja RC filterska struktura može se preraditi na takav način da postane MOSFET-C struktura. Početnu RC strukturu (na slici 5. je to Tow-Thomsov biquad) je potrebno preraditi u potpuno simetričnu strukturu, a zatim sve otpornike zamijeniti MOSFET otpornikom. Da bi se ova procedura projektiranja zaokružila moraju se uzeti u obzir i svi distribuirani kapaciteti (naročito na visokim frekvencijama). Radi malih izobličenja i niskog šuma ovaj tip filtera može postiči dinamiku i do 95 dB. J-L "«v, ¿-;2, H2), kisik v plinih (N , H ), vsebnost delcev v plinih (N2, 02, H2), upornost DI vode, TOC v DI vodi, vsebnost delcev in bakterij v DI vodi. Za ukrepanje ob alarmnih situacijah in arhiviranje podatkov velja enako kot pri 2.2.2. 2.2.4. Spremljanje varnosti Pod to besedo razumemo zasledovanje in kontrolo pogojev okolja, ki vplivajo na varnost ljudi. Vsled tega mora celovit varnostni sistem delovati zelo zanesljivo. Čeprav samo operativno izvajanje meritev in kontrolo varnosti opravlja sistem, ki je ločen od CAF, mora slednji v končni fazi le biti zmožen od varnostnega sistema pobrati status in rezultate določenih meritev. 2.2.5. Zaloga repromateriala Zaloga repromateriala naj vsebuje dve vrsti materiala. Eden je tisti, ki je vezan na določeno opremo (npr. pro- 22 cesni plini, depozicijski materiali, kemikalije, ohišja), vendar brez rezervnih delov. Drugo kategorijo tvorijo materiali, specifični za določen proces. Podatki o zalogi re-promateriala naj vsebujejo tudi korelacijske rezultate s procesno-električnimi meritvami. CAF sistem mora biti sposoben opozoriti uporabnika o bližnjem izteku roka trajanja za določen material v skladišču! 2.2.6. Zgodovina delovanja opreme CAF sistem mora biti sposoben izdelati natančno zgodovino opreme, ki se uporablja v tovarni. Zgodovina vsebuje podatke o časih uporabe (dela na napravi), zastojih, vzdrževanjih, kvalifikaciji, kalibracijah s posebnim poudarkom na dokumentiranju vseh sprememb na opremi. Zgodovina dela opreme naj bi se avtomatično beležila preko vmesnikov na opremo brez posredovanja operaterjev. Le-ta bi sodeloval samo pri vnosu informacije o vzdrževanju ali umeritvi naprave. 2.2.7. Uporabljenost opreme Kot poddel zgodovine opreme naj bi CAF beležil vsako u-porabo opreme v časovnem zaporedju. Časi, ko je oprema vklopljena, v direktnem delu ali zasledovanje izdelane količine, na primer celotne nanešene debeline v LPCVD sistemu ali celotnega števila pojedkanih rezin v plazemskem jedkalniku je tipičen primer podatkov, ki nas zanimajo. 2.2.8. Stanje opreme Poleg tega, da v danem trenutku CAF sistem pozna stanje opreme (obratuje/ne obratuje) nas zanima tudi informacija o produktih, ki čakajo na specifično tehnološko operacijo v tej opremi. Kakšna je vrsta v danem trenutku, koliko je bilo potrebno čakati, oziroma kakšna je predvidena čakalna doba produkta na tej stopnji. Tovrstne informacije so potrebne za planiranje dela na opremi in planiranje gibanja sarž skozi proizvodnjo. 2.2.9. Planiranje vzdrževanja CAF sistem mora spremljati potrebo po preventivnem vzdrževanju in umerjanju opreme. Vsa umerjanja morajo biti opravljana na določen standard In CAF sistem mora shranjevati ustrezne informacije. Preventivna vzdrževanja morajo biti planirana vnaprej, oprema pa izločena iz uporabe, dokler ni opravljen ustrezen vzdrževalni poseg. Nepredvidena vzdrževalna dela je potrebno beležiti skupaj s statističnimi podatki o obratovalnih časih naprave. Na osnovi tega mora biti možno predvideti pogostnost preventivnega in izrednega vzdrževanja v odvisnosti od časa uporabe opreme. 2.2.10. Vodenje opreme za prenos materiala CAF sistem mora omogočiti korak naprej k popolni avtomatizaciji prenosa različnega materiala v proizvodnji. Sposoben mora biti usmerjati sarže rezin (vezij) po ustreznem zaporedju in fizičnih lokacijah v proizvodnji. 2.2.11. Dostopnost CAF sistem mora kontrolirati uporabnike s pomočjo gesel. Potrebno je celo definirati gesla z različno stopnjo prioritete, ki določa, kdo ima dostop do določenih podatkov in kdo je pooblaščen za operativno uporabo sistema. 2.2.12. Pošta Pošta omogoča hiter prenos informacij med uporabniki. Zaželeno je imeti več stopenj prioritete, npr. NUJNO, NORMALNO, NIZKO in samo OBVESTILO. 2.2.13. Elektronska beležka Namen tovrstne beležke kot dela CAF sistema je omogočiti in olajšati beleženje različnih dogodkov v proizvodnji. Ta opcija zahteva izgradnjo ustreznega mehanizma za organizacijo in "čitanje" beležk. 2.2.14. Uporaba omrežja CAF je preko omrežja povezan z napravami v proizvodnji ali z drugimi računalniki. To nam omogoča opravljanje marsikaterega dela preko elektronike, na primer pošiljanje pošte, start določenih meritev, pisanje tehnoloških sporočil, dokumentov, start tehnološkega postopka, in vrsta drugih aktivnosti. Ne le, da s tem dobimo večji pregled in kontrolo nad dogodki, temveč lahko v direktni proizvodnji zadržimo le najnujnejšo optimalno število naprav in ljudi. 2.3. Zasledovanje in vodenje procesov 2.3.1. Procesno - tehnološka dokumentacija CAF sistem mora omogočati hierarhično ureditev proces-no-tehnološke dokumentacije. 23 2.3.1.1. Najnižji nivo tvorijo predpisi za vsak specifičen podproces, na primer čiščenje, nanos fotorezista, optična kontrola; le-ti naj bodo kolikor se le da natančni. Če je le mogoče, je potrebno podati rezultate v odvisnosti od parametrov specifičnega podprocesa. Našteti morajo biti vsi repromateriali in potrošni material, ki se uporablja, kakor tudi vse potrebne nastavitve naprav. 2.3.1.2. Naslednji višji nivo v hierarhiji dokumentacije tvorijo tako imenovani procesni moduli, ki jih dobimo z združitvijo dokumentacije več procesov, na primer modul "maskirni nivo 10" pomeni, da moramo opraviti točno določeno zaporedje korakov z znanim končnim rezultatom. Kjerkoli je mogoče, moramo tak modul zaključiti z meritvijo ali kontrolo, ko dokažemo, da smo dosegli želen rezultat. 2.3.1.3. Najvišji nivo ureditve tehnološko-procesne dokumentacije pa naj omogoči načrtovalcu, da zahteva določen končni rezultat, bodisi v obliki želene geometrije neke strukture ali električnih parametrov končnega vezja. 2.3.2. Simulacije tehnoloških korakov in procesov CAF sistem mora omogočiti lahek dostop do vseh orodij za simulacijo. Tipična orodja v uporabi danes so SUPREM za simulacijo postopkov oksidacije, difuzije, implantaci-je, SAMPLE za simulacijo postopkov fotolitografije, MINi-MOS in HALFVEM za simulacijo delovanja posameznih komponent ter SPICE za električno simulacijo. Tipična uporaba simulacijskih orodij v okolju CAF: a) pomoč pri razvoju procesov, s čimer skrajšamo laboratorijski razvojni čas b) primerjava napovedanih (izračunanih) z dejanskimi rezultati med samim razvojem c) izračun in napoved pomembnejših procesnih variacij v proizvodni fazi. 2.3.3. Iz;račun (ekstrakcija) modelnih parametrov CAF sistem mora podpirati izračun modelnih parametrov za fotolitografske postopke, oksidacijo in difuzijo in električno modeliranje polprevodniških struktur. Prilagajanje izmerjenih vrednosti določenim modelom je seveda naloga specialistov. CAF sistem mora poskrbeti za zbiranje rezultatov vseh fizikalnih in električnih meritev ter na- daljnjo korekcijo le-teh z dejanskimi procesnimi parametri. Pojem ekstrakcija modelnih parametrov pomeni vrsto direktnih fizikalnih in električnih meritev na gotovih strukturah, ki jim sledi sistematična prilagoditev modelirnih koeficientov tako, da se napovedi, ki temeljijo na modelih, zadovoljivo ujemajo z izmerjenimi vrednostmi. Vrednost takega dela se kaže predvsem v večji predvidljivosti in kontroli obstoječih in bodočih tehnoloških procesov. 2.3.4. Procesna analiza Pod procesno analizo razumemo zbiranje in razlago rezultatov meritev s strani merilne procesne opreme, merilne opreme na licu mesta v napravah samih ter meritev na koncu na gotovem izdelku. Analiza mnogih parametrov mora biti opravljena na eni celi rezini, na večih različnih rezinah v isti sarži in od sarže do sarže. Dodatno, v določenih primerih je zelo pomembno primerjati podatke iz različnih virov (npr. korelacija med debelino LPCVD polisilicija in vakuumom merjenim s kapacitivnim manometrom) vključno z meritvami, ki nimajo nič opraviti z individualnimi saržami. Čeprav je točnost posameznih meritev odvisna le od merilne naprave same, mora CAF sistem sodelovati pri zagotavljanju, da le-te točno predstavljajo resničnost stanja in da so pravilno interpretirane. 2.3.5. Procesna diagnoza Procesna diagnoza je v marsičem podobna procesni analizi, razen v tem, da vnaprej predpostavimo, da je nekaj bilo narobe. Taka situacija zahteva lahek dostop do množice različnih podatkov (rezultati testiranja, procesni dnevniki, procesne simulacije, ipd. ), vendar pod časovnim pritiskom. Če naj bo CAF sistem uporaben tudi v takšnih "kriznih" situacijah, mora omogočiti procesnemu tehnologu, da išče, pregleduje in analizira podatke iz širokega spektra virov in ponavadi tudi iz širokega časovnega okna. Zapletenost posameznih procesnih korakov se veča, s čimer se veča tudi možnost novih subtilnih napak, vendar mora CAF sistem biti dovolj fleksibilen, da omogoči načrtovalcu procesa nadziranje in kontrolo teh novih efektov, ne da bi bilo potrebno spreminjati ali prestrukturirati obstoječo podatkovno bazo. 24 2.3.6. Merilna oprema na licu mesta v napravah Zelo pogosto se posamezni koraki pri izdelavi integriranih vezij opravljajo po določenem vnaprej predpisanem zaporedju, na primer vrsta operacij z določenimi nastavitvami , in ko je korak (ali celo cel proces) končan, se izmerjeni rezultat sprejme ali zavrže. Zaželeno je stalno meriti in spremljati napredovanje procesa in uporabljati te podatke za kontrolo koraka. Primer za tako uspešno merjenje na licu mesta je detektor za konec plazemskega jedkanja. Veliko oviro za uporabo meritev na licu mesta predstavlja pomanjkanje ustreznih senzorjev. V velikem številu primerov je procesno okolje kemično in termično sovražno ali pa so zahteve po čistosti specialne. Ravno tako je včasih parameter, ki nas zanima, mogoče meriti šele kasneje v procesu. Namen CAF sistema je torej start meritev na licu mesta, zajetje in obdelava podatkov in kontrola koraka v skladu z algoritmi, ki jih lahko spreminja tehnolog. 2.3.7. Merilna procesna oprema Rezultate meritev na merilni procesni opremi mora zbirati, zasledovati in obdelovati CAF sistem, s čimer povečamo kontrolo nad vsemi procesi in saržami v proizvodnji. Implementiran mora biti tako imenovani SPC - Statistical Proces Control (statistična kontrola procesa - koraka). Tipične meritve, katerih rezultate moramo zbirati, so: debeline dielektričnih plasti (reflektometer, elipsometer), debeline prevodnih plasti (reflektometer, brezkontaktni merilnik upornosti, profilometer), plastne upornosti prevodnih in polprevodnih substratov (štiritočkovni merilnik upornosti, brezkontaktni merilnik upornosti), koncentracijski profili dopantov v siliciju (ASR), ravnost rezin (interferometer), različni parametri CV krivulje, širina linij, itd. 2.3.8. Meritve električnih parametrov (MAP) MAP meritve na gotovih rezinah služijo za diagnozo procesov, kakor tudi izločanje rezin, katerih parametri niso v mejah. CAF sistem mora znati na osnovi I-V in drugih meritev (plastne upornosti, pragovne napetosti, tokovi puščanja, itn.) odločiti, kaj je dobro in kaj slabo. Njegova naloga ni samo branje in shranjevanje teh podatkov, ampak tudi njihovo prevajanje v obliko potrebno za ekstrak-cijo parametrov, kakor tudi izdelava modelov za izkoristek in primerjanje z dejanskimi izkoristki. 2.3.9. Izvajanje procesov Končen cilj CAF sistema je omogočiti direktno digitalno kontrolo vsakega kritičnega koraka v izdelavi in analizi VLSI integriranih vezij. V primerih, kjer je direktna kontrola dejansko takoj mogoča, mora CAF sistem preko internih podatkovnih baz izdelati delovne postopke in jih posredovati vsakemu mikroračunalniku v posamezni opremi. V mnogih primerih, kjer mora operater interpretirati navodila (verjetno preko video terminala, priključenega na opremo) in direktno nastaviti določene parametre, moramo poskrbeti za kontrolo operaterjevega pravilnega ravnanja. To zahteva, da le-ta CAF sistemu potrdi vsako svojo akcijo. Operaterji lahko veliko pomagajo pri odkrivanju možnih problemov. Vsled tega mora obstajati možnost, da operaterji zlahka vnašajo komentarje in opažanja nenavadnih pojavov tako, da lahko tovrstno informacijo koreliramo s kakršnimikoli problemi, na katere naletimo pri kasnejšem delu oziroma na koncu. 2.3.10. Inženirsko spreminjanje procesov Kakršnakoli sprememba procesne opreme, posameznih tehnoloških korakov ali njihovega zaporedja moramo obravnavati kot inženirsko spremembo, CAF sistem pa nam mora pomagati te spremembe speljati. Pri tem mora zahtevati ustrezna pooblastila, preden te spremembe sprejme in začne izvajati. Dejanski sistem sprejema inženirske spremembe je različen za vsako tovarno posebej, oziroma za vsako saržo. Vsled tega mora sistem biti sposoben prilagoditi se tem zahtevam. Se posebej je treba zagotoviti, da se kakršnekoli spremembe na opremi ali v procesnih korakih, dovolj zgodaj identificirajo in odobrijo. Na primer vzdrževalci niso pooblaščeni, da sprožijo inženirsko spremembo nekega procesnega koraka; vsekakor pa lahko spremenijo na primer napeljavo do določenega kosa opreme ali pa opravijo umeritev iste opreme. CAF 25 sistem mora biti sposoben spoznati potencialne posledice takih dejanj in avtomatsko obvestiti morebitne prizadete oziroma odgovorne. CAF sistem mora imeti dober editor za spreminjanje obstoječih procesov. Editor mora biti sposoben poiskati razlike med različnimi procesi z namenom spoznati te spremembe in jih ustrezno oštevilčiti. Za vsak spremenjen proces mora odgovarjajoča datoteka vsebovati zgodovino sprememb, to je vse spremembe in poti, ki so vodile do njih. Ravno tako bi moralo biti možno zasledovati razvoj kakršnegakoli dogodka ali procesa v tovarni. 2.3.11. Procesna sinteza Procesna sinteza pomeni nalogo razvoja natančnih procesnih navodil za novo tehnologijo. V nekaterih primerih bo nov proces logično nadaljevanje ali sprememba že obstoječih navodil. V takih primerih mora CAF sistem nuditi procesnemu načrtovalcu možnost, da posamezne procesne module ali dele obstoječih navodil zlahka vtke v zaporedje novih procesov oziroma navodil. Pri razvoju novega procesa pogosto naletimo na veliko število spremenljivk, vsled česar moramo velikokrat napraviti inženirske kompromise. CAF sistem mora biti sposoben vso to različico spremenljivk predstaviti načrtovalcu v kompaktni obliki ter mu tako pomagati pri zapletenih inženirskih odločitvah in ne povzročati dodatne zmede. 2.3.12. Optimizacija procesov Ko je enkrat nov proces postavljen, se začne "težaško" delo zasledovanja vseh parametrov procesa (testni podatki, MAP meritve, procesne meritve, simulacije, itn.) z namenom optimizacije in "fine nastavitve" vsakega posameznega koraka. CAF sistem mora vse te aktivnosti podpirati na podoben način kot to dela pri samem razvoju procesa. Bistvena razlika je le v količini podatkov, ki jih je treba premleti, saj proizvodnja ustvarja ogromno množico podatkov. 2.3.13. Prilagodljiva procesna kontrola Posamezne procese s časom vse bolj razumemo in postajajo vse bolj kontrolabilni, kar nam omogoča uvedbo tako imenovane prilagodljive procesne kontrole. Namen le-te je ostvariti rahlo drugačne procesne rezultate za dan standarden proces ali (popraviti) motnje, ki so se pojavile na nekem koraku šele kasneje v procesu. Čeprav procesna kontrola "vnaprej" (feed forward process control) realno gledano dandanes še ni popolnoma uresničljiva, mora CAF sistem biti zadosti gibljiv in dovoliti take in podobne spremembe oziroma dodatke procesnim navodilom in izvedbi. Še zlasti za označene (oštevilčene) rezine mora procesni inženir imeti možnost ne samo beležiti posamične rezultate meritev na vsaki od njih, ampak tudi za vsako rezino predpisati enolične procesne korake. Vrh vsega mora biti CAF sistem tako organiziran, da s pomočjo vgrajenega algoritma (ki ga izdelata s skupnimi močmi uporabnik in avtor CAF sistema), točno določenih izmerjenih rezultatov ter ob pomoči (ali brez nje) procesnega inženirja, "odloči", katere spremembe je potrebno izvesti in jih tudi realizira v obliki spremenjenih navodil za izvedbo v ustrezno kratkem času. 2.4. Zasledovanje in vodenje proizvodnje 2.4.1. Zasledovanje sarž CAF sistem naj zasleduje vse količine v proizvodni liniji, ki vplivajo na planiranje. Te količine vsebujejo položaj in status vseh sarž v liniji ter zbir vseh informacij o materialu, ki je bil uspešno in neuspešno izdelan. Za vsak tip sarže (na primer sarže določenega procesa ali prioritete) mora sistem biti sposoben javiti, koliko sarž se nahaja na določeni napravi, in na katero operacijo čakajo, oziroma se opravlja. Sistem mora prikazati tudi število sarž (ali rezin ali tabletk ali vezij), ki so bile izdelane, oziroma jih je še potrebno izdelati. Včasih je potrebno zgodovini izdelave neke sarže dodati še določene opombe in komentarje, ki ne vplivajo na nadaljno izdelavo, kar mora CAF sistem podpirati. 2.4.2. Planiranje gibanja sarž Sistem mora biti sposoben priporočati način gibanja sarž v "ročnih" proizvodnih linijah, oziroma mora nadzirati in vod iti gibanje sarž v avtomatskih proizvodnih linijah. Koordinirati mora gibanje sarž skozi velike in zapletene proizvodnje z namenom ostvariti proizvodne plane na vsaki stopnji linije. Vgrajena mora biti tako imenovana "hitra" opcija gibanja, to je sistem naj samodejno pospešuje izdelavo prioritetnih sarž (na primer prototipne sarže) ne da bi občutno kasnil izdelavo ostalega materiala. "Planer" mora reagirati na slučajne dogodke, ki zmotijo pro- 26 izvodni ciklus, vključujoč odpovedi naprav, pomanjkanje materiala, odsotnost operaterja, ipd. Ravno tako ne sme biti moten, ko izračunava in napoveduje premike sarž. Algoritem za planiranje gibanja sarž mora biti izdelan na osnovi izkušenj in zgodovinskih podatkov o zanesljivosti naprav, izkoristku, efektivnem delovnem času, sposoben pa mora biti izračuna novih predvidevanj nekaterih količin, kot na primer "povprečnega časa do okvare" (MTBF-mean time between failures). Ravno tako je želeno, da je sposoben dati od sebe podatek, kdaj bo določena sarža končana, še posebej pa predvideti konec izdelave za hitre sarže že ob njihovem začetku. 2.4.3. Načrt gibanja sarž Večina VLSI tovarn ima dvojno (čeprav ne nujno identično) opremo za enega ali več kritičnih korakov, kot so na primer oksidacija, implantacija, jedkanje, fotolitografija. Ravno tako imajo nekatere tovarne dostop do zunanjih u-slug kot na primer izdelava mask, epitaksija, implantacija in metalizacija. V primeru odpovedi opreme ali tehnoloških težav na določeni točki rutinske poti gibanja sarž, je potrebno poiskati nadomestne poti, bodisi doma ali zunaj. CAF sistem torej mora biti sposoben ustrezne analize alternativnih poti za vsako saržo posebej. Dejstva, ki naj jih pri tem upošteva, so izdelavni čas, stroski, vpliv na ostale sarže v proizvodnji, izplen, itn. Realizacija takega cilja je mogoča le s specifičnim prirejenim programom , ki mora podatke črpati iz procesnih navodil in podatkovnih baz ter se popolnoma nasloniti na vgrajen opis tovarne (glej poglavje 2.2.1.). 2.4.4. Sredstva za delo Sredstva za delo je material, ki omogoča določenemu orodju ali opremi opravljati točno določeno nalogo (delo). Takšen tipičen primer v polprevodniški proizvodnji predstavljajo fotomaske. CAF sistem mora biti sposoben hraniti opis, popis zalog, zgodovino uporabe in seznam specifičnih lokacij, kjer se ta sredstva za delo uporabljajo. 2.4.5. Izračun stroškov Sistem mora zasledovati porabo repromateriala, beležiti izrabljenost vsake naprave in ljudi. Te številke je potrebno razbiti po saržah, oziroma oddelkih, če je potrebno. Beležiti je potrebno tudi prosti tek naprav in ljudi, kakor tudi vzroke za to (pomanjkanje materiala, odpoved naprav, itn.). 2.4.6. Analiza izplenov Na vsaki kontrolni točki je potrebno beležiti izplen in ga korelirati z ustreznimi dejavniki. Le-ti so pogoji delovnega okolja (temperatura, vlažnost, klasa čistosti, število ljudi v proizvodnji, pogostnost odpiranja vrat, ipd.) in pogoji dela (delni pritisk, število operacij, čas, ki je minil od zadnjega vzdrževanja, itn. ). 2.4.7. Funkcijsko testiranje CAF sistem bi moral sodelovati pri oblikovanju funkcijskega testiranja, vendar zaradi velikega števila potrebnih podatkov in različnih vezij, prenos testnih vektorjev do testnih sistemov opravlja ločeno omrežje. Ravno tako CAF sistem ni odgovoren za samo izdelavo testnih vektorjev, vendar mora nadzirati upravljanje s testi; njegova naloga je ravno tako beležiti osnovne podatke o testiranju, kot na primer število dobrih tabletk (vezij), število slabih tabletk, seznam odpovedi po posameznih tipih testov, itn. Te informacije morajo biti nato na razpolago za nadaljnjo analizo. 3. RAČUNALNIŠKO PODPRTA PROIZVODNJA INTEGRIRANIH VEZIJ - REALEN PRIMER 3 • 1 • Opis proizvodnje integriranih vezij (IV) S stališča avtomatizacije je proizvodnja IV sestavljena iz štirih funkcijskih področij: - nadzor (status in planiranje dela, vzdrževanje opreme) - inženirska podpora (procesna navodila, analiza podatkov) - proces izdelave (zasledovanje pogojev, kontrola opreme, zbiranje podatkov) - gibanje materiala (identifikacija in zasledovanje, kontrola delcev). Vsako od teh področij nudi dovolj priložnosti za avtomatizacijo. Na voljo imamo že opremo, ki je avtomatizirana vsaka za sebe (računalniki, sistemi za transport rezin, merilna oprema, procesna oprema, itn.). Dejanska avtomatizacija tovarne pomeni povezati vse te naprave v celoto. Arhitektura takega sistema je močno odvisna od namena in karakteristik tovarne. Primer: tovarna namenjena raziskavam in razvoju mora biti dovolj prožna in proizvajati veliko različnih rezin (vezij) v eksperimentalni fazi v majhnih količinah in brez potrebe po visokih izple- 27 nih. Druga skrajnost je, da je tovarna zmožna proizvajati rezine (vezja) v ogromnih količinah, vendar samo enega tipa in v poznanem, utečenem procesu z visokimi izkoristki in ob čim nižjih stroških. Nekje "vmes" se nahaja "tipična" tovarna, ki izdeluje vrsto različnih vezij v nekaj procesih (npr. CMOS, NMOS) v zmernih količinah. Ta tip tovarne ponavadi dela vezja po naročilu za interno uporabo znotraj večje DO ali SOZD. Nič neobičajnega torej ni, če tovrstna tovarna opravlja večnamensko funkcijo in služi za razvoj procesov, izdelavo prototipnih sarž in dejansko proizvodnjo IV. Katere stvari torej označujejo "tipično" tovarno integriranih vezij ? 3.1.1. Osnovna proizvodnja - visok investicijski vložek (30 - 50 mio $) - visoki tekoči stroški (5-10 mio $) - veliko število kosov zapletene opreme (140 kosov, 40 različnih proizvajalcev) - večnamenskost (razvoj, prototipna proizvodnja, proizvodnja) - zahteva po veliki čistosti (klasa 100 ali bolje) - prilagodljivost na nove tehnologije (približno na 2 - 3 leta) - uporablja nevarne snovi pri proizvodnji. 3.1.2. Proizvod - zapletene, večnivojske VLSI strukture - minimalne geometrije: 3^um v proizvodnji, l^,um v razvoju - veliko število vezij (20 - 60 različnih vezij) - vezja zelo občutljiva na procesne spremembe - relativno nizek izplen (20 - 60 % na rezini) - spremenljiv obseg dela (2000 - 4000 start rezin/teden). 3.1.3. Ljudje, ki delajo v taki proizvodnji - velika skupina inženirjev različnih profilov - veliko povpraševanje po izšolanih strokovnjakih - relativno majhna, toda izšolana in spretna delovna sila (ciklično povpraševanje) - neprijetno delovno okolje (mikroklima, delo z nevarnimi snovmi) - zahtevano kontinuirano šolanje. 3.2. Kaj avtomatizirati Avtomatizacija tovarne zahteva visok investicijski strošek, vendar z velikimi pridobitvami. Najvarnejši pristop k avtomatizaciji je seveda postopen, po korakih. Najprej je potrebno avtomatizirati tista področja, za katera menimo, da prinašajo največje pridobitve. To so po vrstnem redu: - zagotoviti varno in prijetno delovno okolje (instalacija sistema za nadzor parametrov okolja, proizvodov pomožne tehnologije in nadzor varnega dela) - zagotoviti ponovljivo tehnologijo in tehnološke korake (uporabljati računalniško vodene naprave z možnostjo definiranja procesnega recepta s povratno zanko) - povečati produktivnost delovne sile in proizvodne linije (z računalnikom nadzirati izvedbo posameznih tehnoloških korakov, zasledovati status dela in materiala v liniji, status opreme, vzdrževanje, ipd.). Našteta področja zahtevajo za uspešno delo medsebojno izmenjavo podatkov v realnem času. To je omogočeno s povezavo posameznih računalniško vodenih procesnih modulov (difuzija, merilnica, foto, itn.) na nivoju centralnega računalnika. To seveda še ni vse. V bodoče želimo z avtomatizacijo in uvedbo računalniško vodene proizvodnje povečati še inženirsko produktivnost in proizvodne izplene. Nekaj primerov: a) bolj učinkovito zbiranje inženirskih podatkov in analiza (meritve med procesom izdelave, meritve na gotovi rezini, stanje opreme) b) preprečitev kontaminacije rezin (avtomatsko prekladanje rezin, avtomatsko gibanje rezin v napravah in v proizvodnji) c) avtomatsko gibanje sarž in zasledovanje proizvodnje, planiranje prioritet in časovno zasledovanje. Zbrano, prirejeno in prevedeno iz naslednjih člankov: 1. A CAM SYSTEM VIEW OF AUTOMATION, Jonathan Go-lovin, Consilium Inc. 2. A STRATEGY FOR AUTOMATION, Ulrich Kaempf, HP Laboratories 3. CONSIDERATIONS IN COMPUTER-AIDED FABRICATION, D. A. Ant.oniadis , M.I.T. Avtorjev naslov: Iztok Šorli Iskra Mikroelektronika Stegne 15 d 61000 LJUBLJANA 28 MOLEKULARNA ELEKTRONIKA U JAPANU Djuro Koruga Mada su se prvi radovi iz oblasti molekularne elektronike pojavili u SAD 1974. godine, od strane istraživača IBM (US Patent 3.833.894 od 3.09.1974. godine), Japan je u ovu novu oblast ušao tek 1981. godine. Medjutim, kada je procenjeno da su se stekli svi potrebni uslovi za razvoj elektronskih naprava na molekularnom nivou, Japan je na nacionalnom planu, preko MITI-a (Ministarstvo za indus-triju i trgovinu) pristupio organizovanom razvoju ove oblasti. Država je potpomogla ova istraživanja sa 10 milijardi jena, a uključeno je još dvanaest kompanija, medju ko-jima su: NEC, Hitachi, Fujitsu, Mitsubishi i dr.). Postignuti su več i prvi rezultati u okviru Mitsubishi-a; izvršen je spoj metala i biomolekula, tako da je napravljen prvi stabilni molekularni biotehnički poluprovodnički elemenat na bazi citohroma C. Državnoj elektroničkoj laboratoriji u Tsukubi poverena je koordinacija istraživanja, i več je formiran istraživački tim. Pored toga, u okviru Tokijskog tehnološkog instituta posto-je dve istraživačke grupe koje se bave biosenzorima i bio-čipom. Istraživačka grupa koja se bavi biosenzorima po-stigla je zapažene rezultate u domenu prve generacije o-vih biotehničkih naprava, a razradili su i koncept istraživanja biočipa na bazi biomolekula i to prvenstveno na bazi bakteriorodopsina.U oblasti biosenzora ne samo da su napravljeni laboratorijski prototipovi, nego je proizvedena i prva komercijalna serija. U ovu proizvodnju uključi-le su se firme, kao što su: Toshiba, Mitsubishi, Fuji Electric Co. , Kyoto Daiichi Kagaku i druge. Treča istraživačka grupacija okupljena je oko RIKEN Instituta, gde se pretežno radi na organskim polimerima i biopolimerima, odnosno oko Tokijskog Univerziteta gde se istražuju ne samo materijali za biočip, več i arhitektura, pa i koncepti biokompjutera. U cilju podsticaja ovih istraživanja, osnovana je i Asoci-jacija za istraživanje i razvoj elektronskih naprava buduč-nosti. Ova asocijacija pre godinu dana organizovala je skup "Bioelektronika i molekularne elektronske naprave" uz snažnu podršku države i privrede. Sadržaj Konferen- cije dosta verno odslikava oblasti istrazivanja u domenu molekularne elektronike pa se zato daje u celosti: 1. H. Kuhn: MOLECULAR ENGINEERING - A BEGIN AND ENDAVOUR 2. A. Barraud: LANGMUIR-BLODGET ACTIVE MOLECULAR ASSEMBLIES DESIGNED FOR A SPECIFIC FUNCTION 3. K. Fukuda: MOLECULAR ORGANIZATION IN LANGMUIR-BLODGETT FILMS FOR CONTROL OF PHYSICAL AND CHEMICAL PROCESSES 4. T. Takenaka: RECENT STUDIES OF LB FILMS BY FTIR-ATR SPECTROSCOPY 5. S.E. Rickert: PROCESS CONTROL IN THE PRODUCTION OF INTEGRATED LANGIMUR DEVICES 6. M.C. Petty: ELECTRONIC DEVICES INCORPORATING LANGMUIR-BLODGETT FILMS 7. T. Matsushita: STRUCTURAL STUDIES OF LANGMUIR-BLODGETT FILMS USING SYNCHROTRON RADIATION 8. S. Kuroda: CHARACTERIZATION OF MOLECULAR ELECTRONIC MATERIALS USING ESR AND ENDOR SPECTROSCOPY 9. E. Ando: J-AGGREGATION OF PHOTOCHROMIC SPIROPYRAN IN LB FILMS 10. S. Baker: PHTHALOCYANINE LANGMUIR-BLODGETT FILMS 11.XK. Ulmer: BIOMOLECULAR ASSEMBLY FOR BIOELECTRONIC DEVICES 12. T. Kunitake: MOLECULAR ASSEMBLING IN BILAYER MEMBRANES 29 13. H. Sasabe: MOLECULAR ASSEMBLING AND MICROFABRICATION FOR MOLECULAR DEVICES 14. T. Moriizumi: SOLID STATE BIOSENSORS 15. Y. Kawabata: FORMATION AND DEPOSITION OF SUPERMONOMOLE-CULAR LAYERS BY MEANS OF SURFACE PRESSURE CONTROL 16. M. Conrad: MOLECULAR COMPUTER DESIGN AND BIOLOGICAL INFORMATION PROCESSING 17. A.A. Lamola: RELATIONSHIP OF THE LIFE SCIENCES TO FUTURE ELECTRON DEVICES 18. H. Saito: PARALLEL AND SERIAL PROCESSINGS OF THE VISUAL INFORMATION IN THE MULTILAYER NEURON NETWORK OF THE RETINA 19. S. Fujiwara: TOWARDS BIOELECTRONICS - A POSSIBLE MODEL OF VISUAL CORTEX 20. S. Arriari: SELF-ORGANIZING CAPABILITIES OF NEURAL SYSTEMS 21. M. Oshuga: WHAT CAN BE LEARNED FROM THE SCALP EEGs ? Iz datih naslova se vidi da su bile dominantne tri teme: Longmuir-Blodget Films, Bioelektronske naprave i Bio-kompjuter. Procenjuje se da če se kod molekularnih elektronskih na- 18 3 prava postiči gustine pakovanja i do 10 gejta/cm , sa -12 brzinom rada prekidackih elemenata od oko 10 sekundi. Smatra se da če princip rada molekularnih biotehnič-kih naprava biti na principu solitona, kojim se omoguču-je prenos elektrona skoro bez energetskih gubitaka. Oblast molekularne elektronike je u stadijumu prelaska iz fundamentalnih u razvojna istraživanja. Medjutim , pozna-to je da su Japanci najjači u oblasti primenjenih istraživanja, ali su oni isto tako postali svesni da bez fundamentalnih i razvojnih istraživanja više ne mogu iči napred, pa su u oblasti molekularne elektronike ušli u fazu njenog prelaska iz fundamentalnih u razvojna istraživanja. U odnosu na Zapad, oni u ovoj oblasti imaju i prednosti i mana. Mana je što su slabiji u oblasti fundamentalnih istraživanja, a u prednosti što imaju bolji sistem upravljanja, kako u proizvodnji, tako i u istraživanju. Adresa autora: Dr Djuro Koruga Centar za molekularne mašine Univerzitet u Beogradu 11000 BEOGRAD 30 A OPREMA ZA ELEI AČA OPREMA ZA ELEKTRONSKU INDUSTRIJU RAZVOJ DOMAČE OPREME ZA PROIZVODNJO FERITOV Andrej Češnovar, Dušan Dolničar Od prvih začetkov razvoja in proizvodnje feritnih materialov in izdelkov v Iskri je minilo skoraj 35 let. V tem obdobju se je iz skromnih količin Ni-Zn feritnih palčk, ki so se uporabljale za izdelavo m edf rek venčnih transformatorjev, razvila tovarna feritov, ki praktično nudi popoln asor-timan mehko in trdo feritnih materialov. Izredno širok spekter izdelkov je, poleg uporabe v zabavni elektroniki, prvenstveno namenjen profesionalni uporabi, telekomuni- stroškov proizvodnje nam omogoča uspešnost nastopa v tujini. Tako se je poleg standardne opreme za keramično tehnologijo v tovarni razvijala in se še razvija specifična oprema za tovrstno proizvodnjo. Te opreme ni mogoče dobiti na tržišču. Danes lahko tovarna nudi niz strojev in naprav za samo proizvodnjo, kot tudi za izvajanje specifičnih me- PROIZVOONI PROCES MEHKOFERITNH IZDELKOV FL h/ali RM jeder ¡Tehtanje}-¡Mešanje}- -\Kalciniranje[ ■j Mletje ¡~ -\Homogenairanje}-1 Srandlranje}-1 Stiskanje}- ■ ) ) —j Sintranje\~ -{Pioskovno bruženje\-\Sortiranje^Brušenje rez\\Prary^M-j električnim ogrevanjem. Material, ki ga doziramo z linijskim vibraci jskim dodajalnikom , se vsipava v vstopno odprtino rotirajočo žarilne cevi iz inconela. Glede na hitrost rotacije in naklon žarilne cevi se lahko spreminja čas prehoda materiala skozi cev. Na izhodu se material presipava v spodnjo hladilno cev, v kateri se ohladi. - za trdoferitne materiale je žarilna cev z notranje stra- postopku suhega stiskanja. Za ta namen so se stiskalnice uvažale od specializiranih tujih proizvajalcev. Tovarna feritov je v preteklih dveh letih uspela razviti popolnoma enakovredno stiskalnico z maksimalno silo stiskanja 16 ton. Na osnovi domače konstrukcije je Železarna Ravne izdelala najprej prototip, ki je bil testiran v proizvodnji, sedaj pa je možna že redna izdelava teh stiskalnic. Karakteristike te stiskalnice so popolnoma ekvivalentne lastnostim uvoženih. 32 Tudi za mokro stiskanje trdomagnetnih materialov je bil v tovarni razvit sistem za stiskanje v magnetnem polju. Kot osnovni agregat je uporabljena hidravlična stiskalnica, ki jo proizvaja Litostroj, izdelan pa je homogenizator za feritno suspenzijo, visokotlačna črpalka, magnetni jarem z usmernikom za doseganje ustreznega magnetnega polja, orodje za stiskanje in programska oprema za krmiljenje napajanja, odsesavanja, vključevanja polja in seveda stiskanja. Električna tunelska peč na dušikovo atmo-s f e r o Ob prvih predvidenih poskusih renoviranja in prezidav u-voženih tunelskih peči, najprej samo z domačimi strokovnjaki, kasneje pa tudi z domačimi ognjestalnimi materiali, smo pridobili toliko znanja in izkušenj, da smo samostojno pristopili k izdelavi tunelske peči na dušikovo atmosfero za sintranje mehkomagnetnih materialov. Prva peč, ki je seveda po osnovnih karakteristikah zadovoljila vsem pogojem, je bila izvedena s klasično temperaturno regulacijo. Kasneje smo vpeljali modernejšo tiristorsko regulacijo in prav sedaj zaključujemo peč, ki je krmiljena na vseh treh osnovnih parametrih, to je temperaturi, atmosferi in pomiku s pomočjo računalnika. Najprej bomo delovanje preizkusili v odprti zanki, kasneje pa bomo speljali še sistem zaprte zanke. Stroj za sortiranje 1 o n č a s t i h in R 1M - j e d e r Razvili smo sistem za sortiranje lončastih jeder po induk-tivnosti. Sistem, ki deluje popolnoma automatsko, sortira ta jedra v tri razrede. Območja posameznih razredov so poljubno nastavljiva in izbrane vrednosti vnesemo v pro-gramator. Uporabljen je LCZ mostiček s komparatorjem, ki elektronsko krmili vse pnevmatske cilindre za podajanje in merjenje. Ta sistem je omogočil v nadaljevanju razvoj stroja za brušenje reže pri tovrstnih jedrih. Sortiranje po induktivnosti namreč omogoča brušenje rež z optimalnimi izkoristki in ozkimi tolerancami. Stroj za brušenje rež Podobno je zgrajen stroj za brušenje rež pri lončastih jedrih. Programator krmili pnevmatski sistem, ki avtomatsko transportira jedra iz zalogovnika na brusilno mesto. Na podlagi zahtevanih A vrednosti, so izračunane za vsa-ko jedro odgovarjajoče globine reže, ki se vnesejo v programator. S tem dosežemo za vse izdelke ene serije po- polnoma enako globino reže s točnostjo +_ . Oprema za merjenje elektromagnetnih lastnosti Čedalje ostrejše zahteve glede kvalitete feritnih izdelkov so narekovale razvoj zanesljivega in avtomatiziranega sistema merjenja. Tako je bil že v letu 1980 v sodelovanju z Inštitutom Jožef Štefan razvit sistem za merjenje elektromagnetnih karakteristik mehko feritnih izdelkov in to permeabilnosti oziroma induktivnosti, histereznih izgub in izgubnega faktorja, faktorja desakomodacije, temperaturnega koeficienta ter frekvenčne temperature in časovne odvisnosti permeabilnosti. Kompleten sistem je sestavljen iz mikroračunalnika z napajalnikom, vmesnika, dveh LRC mostičkov z različnimi frekvenčnimi obsegi, temperaturne komore z obsegom od -40° do + 85°C , menjalnika merilnih vzorcev in pisalnika. Merilnik Hanna krivulj V preteklem letu je bil razvit avtomatski merilni sistem za merjenje Hanna krivulj, to je odvisnost magnetne energije od amperskih ovojev. Ti podatki so zelo pomembni za izračun uporabnosti posameznih jeder za napajalnike in druge močnostne aplikacije. Sistem omogoča poleg meritev toroidnih jeder, tudi meritve drugih jeder s toroi-dalnim navitjem ali z merilno tuljav, poleg tega pa tudi meritve odprtih magnetnih krogov. Sestavl jen iz mikroračunalnika, LRC mostička, monitorja in pisalnika. Merilnik geometrijskih dimenzij Za natančno merjenje geometrijskih dimenzij feritnih jeder je bil razvit poseben računalniški sistem. Za osnovo je izbran merilni instrument NP-37 in inkrementni linearni merilni dajalnik TGM. Mikroračunalnik z minimalno 48 K RAM, je preko vmesnika povezan z merilnikom, dodana je še disketna enota, monitor in pisalnik. Sistem o-mogoča merjenje vseh dolžin s točnostjo -h 5 ^um pri dolžini 2 m, statistično obdelavo vseh podatkov in prikaz rezultatov v obliki histograma. Naslov avtorjev: Mag Andrej Češnovar, dipl.ing. Dušan Dolničar, dipl.ing. Iskra Elementi - Feriti Stegne 19 61000 Ljubljana 33 PRIKAZ REALIZACIJE PROIZVEDENIH AUTOMATA, UREDJAJAIALATA S NAGLASKOM NA PROBLEMATIKU PROIZVODNJE ELEKTROKONTAKTNIH ELEMENATA, RELEJA, TE OBRADE ELEKTROVODIČA Mijo šarlija U OOUR-u ALPA (Alati i proizvodna automatika) RO "VLADO BAGAT", početkom 1982. godine počelo se s projektiranjem i proizvodnjom opreme za elektroničku industriju s naglaskom na problematiku izrade elektromehaničkih sastavnih dijelova. Danas, nakon četiri godine, ova proizvodnja je organizirana u samostalnu RJ te uz alate za štancanje, plastiku i tlačni lijev čini jedan od razvojnih pravaca OOUR-a i RO. Dosadašnja iskustva.i zahtjevi tržišta izbacili su u prvi plan slijedeče grupe proizvoda: KONTAKTNI AUTOMAT - "ALPAKONT" (patentno zašti-čen) za elektrootporno zavarivanje kontaktnih elemenata u obliku profilne ili okrugle žice na najsloženije izratke dobivene štancanjem te obradom deformacije. Poseban pogon omogučuje vrlo visok radni učinak - do 1200 kontaktnih spojeva u minuti. Posebne pogodnosti na koso i obostrano zavarivanje. Automat je izveden u linearnoj modulnoj tehnici s vrlo fleksibilnom nam jenom pa omogučuje, osim zavarivanja kontaktnih elemenata, brzohodno narezivanje ili uvaljiva-nje navoja, oblikovanje, točkasto spajanje s dodanim ele-mentima, ugradnju posebnih jedinica, na primjer za kon-trolu, kalibriranje, sastavljanje podsklopova te primjer-no odlaganje gotovih proizvoda ili elemenata u palete ili kutije. O vi automati mogu biti isporučeni i u jednostavnijoj ver— ziji kao AUTOMATI ZA ŠTANCANJE - u kombinaciji 1-3 Mehaničke preše uz 1-2 posmaka (dodavača) trake ili ra-sutih elemenata. Mehanički dodavač je tako konstruiran da nema udarne graničnike niti trajna opterečenja, a može u standardnoj izvedbi postiči do 400 pomaka u minuti i to u oba smjera transportiranja. Posebna karakteristika ovih AUTOMATA je odbrojavanje željenog broja elemenata u segmentu te odsjecanje i pri-hvat istih. Glavni pogon je mehanički (elektromotor), a kombinacija spojke-kočnice omogučuje brzo zaustavljanje i pokretanje. Promjena radne brzine je pomoču varijatora ili na zahtjev regulacijom istosmjernog motora. AUTOMATI ZA OBRADU ELEKTROVODIČA - "ALPACRI MP" pojavljuju se kao prirodni slijed sastavljanja vodiča s potrebnim spojnim elementima koji su vrlo pogodni za izra-du na Automatima za štancanje. Na ALPACRI MP - automatima koji rade na principu rota-cionog bubnja s elektromehaničkim pogonom moguče je u 2x8 stanica (posebna izvedba 2x12) obaviti: - mjerenje i odsijecanje slobodno programirane dužine vodiča od 40-10.000 mm - obostrano skidanje izolacije - sukanje niti vodiča, obostrano - zakivanje stopica, obostrano - označavanje krajeva (na zahtjev) - zavarivanje dodatnih elemenata (na zahtjev) - brojanje i automatsko odlaganje. Vrlo visok učinak (do 120 min-l) i različiti presjeci vo-2 diča (0,5 - 16 mm ) omogučuju višenamjensku primjenu ovih automata. AUTOMATI ZA SASTAVLJANJE (MONTAŽNI AUTOMAT), proizvode se na zahtjev kupca kao samostalni u grupnoj tehnologiji ili projektirani kao namjenske linije, posebno u masovnoj proizvodnji elektromehaničkih sklopova i proizvoda (releji, sklopke, telefonija i si.). Na primjeru montažne linije za proizvodnju mini releja TRK-22 omogučena je izrada vrlo visokih serija složenog proizvoda gdje ljudska ruka nije u mogučnosti pružiti kvalitet i brzinu koji se zahtjevaju na svjetskom tržištu. Uz proizvodnju složenih automata i montažnih linija razvila se i proizvodnja pratečih modula i jedinki kompatibil-nih u različitim zahtjevima a posebno mjesto zauzimaju: - okretni stolovi s vlastitim pogonom (malteški križ) - jedinke za uvaljivanje navoja (prijavljen patent) 34 - prijenosnici snage - glave za zavarivanje kontaktno, rotacijsko i točkasto - elektro-upravljačke jedinice - visokoučinski transformatori s vodenim hladjenjem Pored navedenog, razvojni cilj je usvajanje proizvodnje mikrozavarivanja u tehnologiji poluvodiča te još veča pri-mjena tehnike ultrazvuka, laserskih zraka, lemljenja i lijepljenja. Intenzivno se radi i na izradi komponenti slo-bodno programiranih manipulatora koji su djelomično našli svoju primjenu u ALPA montažnoj tehnici. Zelja proizvodjača je i dalje stajati na usluzi kupcu naših proizvoda dajuči time svoj skromni doprinos razvoju domače tehnologije u čemu smo naišli na punu povjerenje domačih korisnika medju kojima posebno ističemo "IS-KRU", "R. ČAJA VEČA", "R. KONČARA", "ETU", "ENER-GOINVESTA" i "SELKA". Adresa autora: Mijo Šarlija, dipl.ing. RO "VLADO BAGAT" OOUR ALPA - ZADAR 57000 ZADAR MATERIALI ZA ELEKTRONIKO MATERIJALI ZA ELEKTRONIKU JUGOSLOVANSKI METALURŠKI KOVINSKI SILICIJ KOT IZHODNA SUROVINA ZA POLPREVODNIŠKI SILICIJ IN ZA IZDELKE SILICIJEVE KEMIJE Milan Slokan V prejšnji številki Informacije MIDEM smo objavili pregled opreme domače izdelave za proizvodnjo elektronskih sestavnih delov in mikroelektroniko, ki je bila prikazana v plenarnih referatih in na posterjih ob priliki posvetovanja "Domača oprema SD - MIEL" v Ljubljani 7. oktobra 1986. Posvetovanje je organiziralo Strokovno društvo MIDEM, z namenom, da bi premostilo pomanjkanje informacij o domačih dosežkih na področju izdelave opreme za našo stroko. V plenarnem delu posvetovanja, ki se ga je udeležilo okoli 80 zainteresiranih predstavnikov jugoslovanske elektronske industrije, smo slišali 12 referatov, v poster sekciji pa je bilo predstavljenih 25 domačih dosežkov v izdelavi opreme. Ker pred posvetovanjem, niti kasneje, zaradi premajhne naklade nismo tiskali zbornikov, so pa nekateri referati zanimivi tudi za širši krog naših članov, smo se odločili, da objavimo izbor referatov v naših Informacijah MIDEM. Prvi del prispevkov je uvrščen v to številko, ostale referate pa bomo objavili v naslednjih dveh številkah. 35 Morda se ne zavedamo, da je v okoli 30 % polprevodniških elementov in integriranih vezij v svetu vgrajena osnovna surovina, ki izvira iz Jugoslavije. Ta osnovna surovina je metalurški kovinski silicij, ki ga proizvaja metalurški kombinat Elektrobosna v Jajcu ter z njim skoro v celoti oskrbuje firmo Wacker v Burghausenu, ZRN za proizvodnjo polprevodniškega polikristalnega silicija. Firma Wacker Chemietronic je največji proizvajalec tega polisilicija na svetu s količino 2200 ton letno v letu 1985, medtem ko je bila tedaj celotna letna svetovna proizvodnja približno 6600 ton. Elektrobosna dobavlja Wackerju cca. 3000 ton metalurškega kovinskega silicija čistoče minimalno 99,0% Si, Wacker pa proizvaja iz njega poleg super čistega silicija za polprevodnike še celo paleto izdelkov tako imenovane silicijeve kemije: silikone, klorsilane, organosilane, koloidno kremenico, silicijev karbid, silicijev nitrid, silicijeve termoizolacijske materiale in drugo. Dejstvo je, da želi Elektrobosna doseči višjo stopnjo predelave kovinskega silicija, zato je že v kooperaciji z Wac-kerjem pričela proizvajati silikonske kite (tesnilne materiale), načrtuje pa tudi v bodoče prehod na proizvodnjo čim širše palete silikonov. Za višjo stopnjo predelave tehničnega kovinskega silicija v polprevodniške kvalitete pa govori že razlika cene tehniškega silicija v izvozu (cca 1 # /kg) nasproti ceni polprevodniškega polikristalnega silicija, ki ga v celoti uvažamo v Jugoslavijo (cca 50$/ kg). Da bomo lažje presodili problematiko čiščenja metalurškega silicija, na kratko prikazujemo princip tehnološkega postopka za polikristalni silicij, še prej pa navedimo nekaj podatkov o tehničnem metalurškem siliciju. Industrijsko ga pridobivajo z redukcijo kremenove (SiC^) rude z ogljikom iz premoga ali koksa. Nastali cca 99 %-ni tehnični silicij iz Elektrobosne za predelavo v polikristalni Si ima še 0,13 do 0,18 % Al, 0,40 do 0,45 % Fe, 0,71 do 0,22 % Ca, pod 0,1 % Mg ter še manjše količine titana, mangana, fosforja oziroma sledove žvepla in bora. Superčisti polikristalni polprevodniški silicij se danes v svetu največ proizvaja s pretvorbo metalurškega silicija v triklorsilan, nato pa z redukcijo nazaj v elementarni silicij: Si (tehnični silicij) + HC1 -s» SiHClg + H2 (triklorsilan) SiHCl3 + H2--S» Si + 3 HC1 Reakcija nastajanja SiHCl^ teče v reaktorju s fluidizirano plastjo z izplenom pretvorbe okoli 90 %. Nizko vrelišče triklorsilana (31,8°C) omogoča zelo uspešno čiščenje s frakcionirano destilacijo, saj imajo skoraj vse možne nečistoče sorazmerno nizko hlapnost. Tehnološka shema na sliki 1 prikazuje faze proizvodnje in čiščenja triklorsilana, vendar je proces v resnici bolj kompliciran. Končna vsebnost električno aktivnih nečistoč v SiHCl je pod lppb. Slika 1: Tehnološka shema proizvodnje superčistega triklorsilana 1 - reaktor s fluidizirano plastjo, 2 - tehnični silicij, 3 - klorvodik, 4 - kondenzacija, 5 - rezervarji, 6 - de-stilacijska kolona, 7 - triklorsilan. 36 Superčisti, tekoči triklorsilan je treba sedaj spremeniti nazaj v izredno čisti elementarni silicij. Zato ga vodijo skupaj z vodikom po površini vročih silicijevih palic, kjer pride do razkroja in depozicije iz parne faze (chemical vapour deposition CVD) v smislu iste reakcije kot pri nastanku triklorsilana, vendar v obratni smeri (Siemensov proces). Ta proces, ki ga shematsko kaže slika 2 teče pri temperaturah med 900 do 1100°C. Žal lahko samo določen delež SiHClg spremenimo v silicij, kar je, med drugim, v glavnem odvisno od visokotemperaturnega ravnotežja koncentracij SiCl^ in SiCl2. Slika 2: Proizvodnja polikristalnega silicija s CVD 1 - kremenčeva komora, 2 - vodik, 3 - triklorsilan, 4 - saturator, 5 - polikristalni silicij, 6 - izhod plinov v kondenzac ijo. Silicij se izloča na cilindrični silicijevi podlagi s precej majhno hitrostjo depozicije (ca 1 mm/h). Za uporabo v polprevodniški industriji spremenijo polikristalni silicij v monokristale brez dislokacij bodisi po postopku Czochralskega ali pa s tehniko plavajoče zone (floating zone), odvisno od zahtevane kvalitete in predvidene uporabe silicijevih rezin, ki jih kot končni izdelek dobavljajo kupcem. Z dolgoletnimi izboljšavami in izkušnjami so prišli do današnje kakovosti, kar je prikazano na sliki 3. Prav tako kaže slika najvišjo električno čistočo v večkrat prečiščenem materialu v plavajoči zoni z lastno upornostjo skoraj 100.000 ohmcm. Na desni strani te slike so razvidne tudi najvišje vrednosti nečistoč v današnji proizvodnji polikristalnega silicija. LETO Slika 3: Upornosti ("ravni nečistoč") polikristalnega silicija v teku preteklih desetletij Za dosego take ekstremne čistosti so potrebne seveda tudi izjfemne mere pri materialih, ki jih uporabljajo v procesu. Kot je razvidno iz osnovne enačbe Siemensovega procesa, sta to vodik in klorovodik, ki gresta skozi zahteven postopek čiščenja ter kemijskih in električnih kontrolnih preiskav. Slednje nepretrgoma izvajajo pri kontroli kakovosti H^ in HC1, polizdelka SiHCl^ in polikristalnega silicija s tako imenovanimi "preskusnimi depozicija-ml" polikristalnega silicija, iz katerega izdelajo monokristale ter jim izmerijo električne lastnosti. Pri proizvodnji polikristalnega silicija iz triklorsilana nastaja kot sekundarni proizvod med drugim tudi precejšnja količina SiCl^. Za zagotovitev ekonomske proizvodnje triklorsilana in polisilicija v Jugoslaviji bi bilo treba torej tudi zagotoviti uporabo in predelavo polizdelkov iz procesa, ki ne ustrezajo "electronic grade" kakovosti ter upoštevati naslednje možnosti: - regeneracija dela SiCl^ nazaj v SiHCl^ za proizvodnjo polikristalnega silicija. Upoštevati moramo pri tem, da je postopek pri Wackerju patentiran; - del proizvodnje SiHCl (in SiCl^), bi lahko uporabili za predelavo v izhodne surovine za proizvodnjo silikonov. 37 Kot smo že navedli, ima Elektrobosna že v proizvodnji finalizacijo silikonskih kitov, vendar vse potrebne surovine kupujejo v Wacker ju. Prav tako bi lahko Prva Iskra Barič in Termika, ki sta oba proizvajalca silikonskih izdelkov nadomestila uvoz surovin z domačimi; - v zadnjem času, s povečanjem zanimanja za keramiko . na osnovi SiC in Si^N^, so v teku raziskave o pridobivanju teh prahov iz silanov, pa tudi razmišljajo o industrijski proizvodnji. Več znanih firm v svetu dela na razvoju polindustrijskih naprav za pridobivanje SiC in SigN4 prahov (na primer Bayer). Glede na visoko ceno teh materialov in na perspektivo njihove uporabe (keramični motorji, turbine, toplotni izmenjevalci in podobno) bi bilo ekonomsko upravičeno izkoristiti surovinsko bazo (SiHCl^ in SiCl^), ki bi jo nudila proizvodnja polikristal-nega silicija za bodočo domačo proizvodnjo prahov SiC in/ali Si^N^. Po našem mnenju bi bil lahko Energoinvest, ki ima že nekaj izkušenj na področju SiC keramike ter ima tudi ustrezne razvojne načrte, koordinator del na tem področju. Za SiC in Si^N^ keramiko pa so zainteresirani tudi v Elektrobosni. Kot ilustracijo navajamo podatek, da so letos v SSSR kupili licenco za proizvodnjo hitroreznih orodij na osnovi silicijevih nitridov - določene količine SiHCl in SiCl4 bi verjetno lahko izvozili; te surovine se smatrajo na svetovnem tržišču kot strateške ter imajo visoko ceno - manjše količine SiCl^ bi lahko uporabila domača elektronska industrija (na primer proizvodnja optičnih vlaken) - predelava SiCl^ v bele saje (SiO^, aktivna kremenica) , ki jih danes industrija barv, gumarska industrija in drugi uvažajo. V Jugoslaviji imamo poleg Elektrobosne v Jajcu le malo podjetij, ki imajo pogoje za silicijevo kemijo, ki pri nas sploh ni razvita. Silikone na primer proizvajata na osnovi uvoženih surovin še Prva iskra Barič in Termika v Ško-fji Loki. Vendar ima Elektrobosna najdaljšo tradicijo v predelavi silicija in tudi proizvodnjo vseh drugih za tri-klorsilan potrebnih izhodnih surovin, predvsem plinasti HCl in vodik. Seveda vse to ni nastalo čez noč in kot zanimivost omenjamo, da je prav industrijalec Wacker pomagal ustvarjati temelje tedanje firme Bosnische Elektrici-täts AG, ki je imela sedež na Dunaju. Kmalu po avstrijski okupaciji Bosne je tuji, predvsem avstrijski in nemški kapital pričel sistematično pripravljati izkoriščanje ogromnih rudnih in energetskih bogastev v Bosni. Električna e-nergija je bila izredno poceni, zato je pričela v Jajcu pred devetdesetimi leti, to je 1897, nastajati elektrotermična in elektrokemična industrija, ki je tudi neusmiljeno izkoriščala poceni domačo delovno silo. Prvi proizvod je bil kalcijev karbid, nato je nastala kloralkalna elektroliza ter kasneje po Wackerjevem patentu proizvodnja trikloetilena in tetrakloretana. Leta 1902 datira pričetek priprav za proizvodnjo ferosilicija, ki je pričela leta 1908. Podjetje v Jajcu je delovalo v okviru multinacionalnega kapitala, ki se ni ukvarjal samo s proizvodnjo temveč tudi z raziskavami. Prijavljenih je bilo lepo število patentov. Kot primer in zanimivost objavljamo reprodukcijo patentnega spisa št. 302305 avstrijskega patentnega urada, izdanega leta 1917, ki ščiti postopek za izdelavo prevlek silicija na železu in drugih kovinah na osnovi termičnega razkroja silicijevih halogenidov in silanov. Patent je lep dokaz za že tradicionalno zanimanje za silicij in njegovo uporabo v zgodovini Elektrobosne. 38 Üi>i KAISERLICHES RENTAMT. PATENTSCHRIFT M 302305 KLASSE 48//. GRUPPE 4. BOSNISCHE ELEKTR1CITÄTS-AC T.-GES. IN WIEN. Verfahren zur Herstellung von Siliciumüberzügen, bei dem die zu überziehenden Stücke in heißem Zustande mit Halotjen- oder Halotjenwasserstoiiverbimlunijen des Siliciums, nötigenfalls in Gegenwart von geeigneten, die Siliciuniabscheidung bewirkenden oder fördernden Stoffen behandelt werden. Patentiert im Deutschen Reiche vom 4. April 1915 ab. Für diese Anmeldung i*t gcrr..'lli dem t'iwiixvcrtr.ijjc vom 2. luni 1911 ilic Priorit.lt auf Grunil der Anmeldung in Österreich vom 20. Apnl 1914 beansprucht. Metallisches Eisen bildet mit Siliciumtetra-clilorid in der Glühhitze Eiscnehlorid 11ml scheidet dabei freies Silidum nb. Ähnlich v.'ic Eisen wirken andere Metalle; ebenso können an die FtcHe des Siliciumchlorhls ache fiir die Technik, insbci-ondore die chemische Industrie, welche ein groOcs Bedürfnis nach säurefesten Eisenbehältern hat, gewinnen kann, liegt auf der Hand. Für die Erzeugung der Siciliumüberzüge auf Gebrauchsgegenständen kommen im wesentlichen dieselben Methoden in Betratht, die sich für den gleichen Zweck in der Glühkür-peiiiiduslrie bewährt haben, also insbesondere die Erhitzung des zu silicierenden Gegenstandes mit Siliciumhalogenid, nötigenfalls in Ge- genwart von Wasserstoff oder anderen Substanzen, die geeignet >ind, die Reaktion zu bewirken oder zu fördern. Nur wird praktisch in den meisten Fällen an die Stelle der. unmittelbaren elektrischen Heizung eine Erhitzung au! andere Art zu treten haben. Im allgemeinen genügt es bei mittleren und grüüeren Stücken, sie glühend zu machen und so vorbereitet in die SilicicrungsUammer zu bringen. Kleinere Stücke, die sich leicht abkühlen. mib-en während des Vorganges durch äußere Wärmezufuhr heiOgehaUen werden. r -ecnständo, deren Material eine SihVium-^Wieidung aus den Halogcniden nicht selbst ju bewirken vermag, lassen sich in der Weise silicieren, daß ihnen vor Heginn des eigentlichen Silkierungsprozesscs ein geeigneter Überzug aufgelegt wird. So können z. B. auf galvanischem Wege erzeugte Eisenniederschlägc nachträglich in Überzüge aus Ferrosilicium oder SüiciummctaU verwandelt werden. P A T K N T - A N S I' I! C C I u:: x. Verfahren zui Herstellung von Silieium-Überzügen, bei dem die zu überziehenden Stücke in heißem Zustande mit Halogen-öder Halogenwasserstoff Verbindungen des Siliciums. nötigenfalls in Gegenwart von geeigneten, die Si!iciumal>sivic, B.lvren, I.Sorli, M.Hirsman, Iskra Mikro-lektronika, Ljubljana 3 um N-WELL AND P-WELL DEVICE PERFORMANCE COMPARISON Objed Lunch SEKCIJA SESSION A-6 Predsjednik: Chairman: Modeliranje I Modelling I J .Furlan 15.30 I.Sorli, M.Hiršman, Iskra Mikroelektronika, Ljubljana SIMULACIJA CMOS PROCESA Z N IN P OTOKOM IN MINIMALNO RAZSEŽNOSTJO 3 um SIMULATION OF 3 MICRON N-WELL AND P-WELL CMOS TECHNOLOGY 15.45 M.Jenko, Iskra Mikroelektronika ,Ljubljana DC AND TEMPERATURE CHARACTERIZATION OF THE PROCESS USING CIRCUIT SIMULATOR MOS MODELS 16.00 M.Maček, M .Hiršman,Iskra Mikroelektronika, Ljubljana FORMIRANJE PLITVIH SPOJEV N+P Z IMPLANTACIJO ARZENA SHALLOW JUNCTIONS N+P FORMED BY As IMPLANTATION 16.15 M.Hiršman, M .Maček Iskra Mikroelektronika, Ljubljana FORMIRANJE PLITVIH SPOJEV P+N Z IMPLANTACIJO BF*> SHALLOW JUNCTION P+N FORMED BY BFt, IMPLANTATION 16.30 R.Ramovič, D.Rajič, D.Tjapkin, Elektrotehnički fakultet Beograd D.Stojanovič Institut za fiziku, D .Stojanovič , Institut "Boris Kidrič" Vinča MODELOVANJE TOPLOTNIH POJAVA I ANALIZA TEMPE-RATURSKOG POLJA U NEKIM MIKROELEKTRONSKIM STRUKTURAMA MODELLING OF THERMAL PHENOMENA AND THE ANALYSIS OF THE TEMPERATURE IN SOME MICROELECTRONICS STRUCTURES W.Janke, Technical University of Gdansk, PL MODELING THE ELECTROTHERMAL INTERACTIONS IN INTEGRATED CIRCUITS 16.41 17 .00 Odmor Break SEKCIJA SESSION Predsjednik : Chairman: A-7 Modeliranje II Modelling II P .Biljanovič 17. 15 C.Gingu, M.Meila, Microlectronica Bucharest TWO-DIMENSIONAL SIMULATION OF MOS CAPACITOR 17.30 D.Pantič, S .Mijalkovič , N .Stojadinovič , Elektronski fakultet Niš, N.Jankovič, RO Ei-Poluprovodnici, Niš PRAKTIČNI ASPEKTI MODELIRANJA CMOS TRANZISTO- RA PROGRAMOM MINIMOS PRACTICAL ASPECTS OF CMOS TRANSISTOR MODELING BY PROGRAM MINIMOS 17 .45 P .Habaš , Fakultet tehničkih nauka Novi Sad V.Cvekič, Minel institut za automatiku,Beograd MODELOVANJE KANALA VISOKONAPONSKOG MOS TRANZISTORA U SATURACIJI A MODEL FOR HIGH-VOLTAGE MOS TRANSISTORS IN THE SATURATION REGIME 18.00 S.Dimitrijev, D.Župac, N .Stojadinovič, Faculty of Electronic Engineering, Niš AN IMPROVEMENT OF COMMONLY USED EXPRESSION FOR DRAIN CURRENT OF MOS TRANSISTORS 57 18.15 I.Adamčik, L.Maly, TESLA Electronics Research Institute , Praha, ČSSR METHODOLOGY OF TEST CHIPS DESING FOR PROCESS AND DEVICE CHARACTERIZATION 18.30 S .Djordjevič , V.Litovski, Ei Mikroelektronika Niš, Elektronski fakultet Niš MODELIRANJE I PROGRAMSKA REALIZACIJA SATURACI-ONE OBLASTI BIPOLARNOG TRANZISTORA MODELING AND COMPUTER PROGRAMING OF THE BIPOLAR TRANSISTOR'S SATURATION REGION 18.45 F.Smole, Iskra - Polprevodniki, Trbovlje J.Furlan, S.Amon, Fakulteta za elektrotehniko, Ljubljana RAČUNALNIŠKA SIMULACIJA POLPREVODNIŠKIH STRUKTUR COMPUTER SIMULATION OF SEMICONDUCTOR STRUCTURES 13.15 B.Aleksandrov, M.Kranjc, M.Bizjak, I.Sorli, Iskra-Mikroelektronika, Ljubljana KVANTITATIVNA ANALIZA FOSFOSILIKATNH STEKEL 13 .30 13.45 20.00 Vecera Conference diner Subota, 16. maj Saturday, May 16 i h Sala Hall UVODNI REFERAT INVITED PAPER QUANTITATIVE ANALISYS OF PHOSPHOSILICATE GLASSES J.Fischer, Zentrum für wissenschftlichen Gerätebau, Berlin, DDR SOME PROBLEMS OF EQUIPMENT RELIABILITY IN SEMICONDUCTOR PROCESS MEASURING APPARATUS A.Sienicki, A .Jakubowski, Institut Technology Elec-tronowj, Politechnika Warszewska, Wrszawa SURFACE GENERATION VELOCITY DETERMINATION USING PULSE METHODS IN MOS CAPACITOR Objed Lunch SEKCIJA SESSION Predsjednik: Chairman: 16.45 17.00 17.15 -2 Tehnologija integriranih sklopova IC 's Design S .Muštra F .Fenske, H .Lange, H .F .Hadamovsky, Central Institut of Electron Physics , Berlin - DDR MULTILAYER METAL CONTACT SYSTEM FOR SILICON POWER DEVICES A .Balasinski, M.Duszak, K.G .Grigorow , A .Jakubovski, J.Smidt, Waszaw University of Technology , Warszaw - PL THE INFLUENCE OF DEPOSITION TIME ON PARAMETERS OF THIN DIAMOND LIKE CARBON FILMS E .Staryga , A.Lipinski, Technical University of Lodz, PL MODELLING OF ENERGY STRUCTURE OF THIN CARBON FILMS OBTAINED BY PA CVD METHOD Predsjednik: Chairman : B.Djokič 09 .00 10.00 10.15 T.V .Bugareva, Akademija nauk, Moskva - SSSR ULTRASONIC INFLUENCE ON ABSORBTION AND EMISSION SPECTRA OF LIGHT IN SEMICONDUCTORS Odmor Break ZA KLJUČA K SAVJETOVANJA CONFERENCE CLOSING 17.30 J.S midt, A .Jakubowski, W.Kucko, Technical University of Warszaw, PL APPLICATION OF DIAMONDLIKE LAYERS AS ANTIREFLECTION COATINGS IN SILICON SOLAR CELLS 17.45 E Hasanbašic, R .Sinovčevic , D .Vuk, RO Tvornica polu-vodiča, Zagreb SEKUNDARNA ZAŠTITA BIPOLARNIH TRANZISTORA PLAZMA Si-NITRIDOM , Si-OKSINITRIDOM , Si-OKSIDOM I DV OS LOJNIM S i-OKSID Si-NITRIDOM PLASMA DEPOSITED SILICON NITRIDE, SILICON OXINI-TRIDE, SILICON DIOXIDE AS SECUNDAR PROTECTION OF BIPOLAR TRANSISTORS Četvriak, 14. maj Sala Thursday, May 14th Hall 18.00 SEKCIJA SESSION B - 1 Mjerenja Measurements Predsjednik: Chairman: D .Čajkovski Odmor Break SEKCIJA SESSION Predsjednik: Chairman: B -3 SAW elementi SAW Devices S .Širbegovic 12.30 K .Iniewski, A-Jakubowski, Technical University Warszaw NEW APPROACH TO C-V PROFILING IN MOS STRUCTURES 12.45 M.Koželj, Iskra-Mikroelektronika , Ljubljana C-V MERITVE IN DOLOČITEV PARAMETROV ZA MAJHNE P-N STIKE C-V MEASUREMENT AND PARAMETER EXTRACTION FOR SMALL P-N JUNCTIONS 13.00 M.Muževič, RIZ-RO Tvornica poluvodiča, Zagreb KOREKCIJA PROFILA OTPORNOSTI PRI MJERENJU RASPO-DIJELNJENOG OTPORA REALNIH STRUKTURA THE RESISTIVITY PROFILE CORRECTION DURING THE SPREADING RESISTANCE MEASUREMENT OF REAL STRUCTURES 18.15 Z «Matic, P.Jermol, J.Majcen, Fakulteta za elektrotehniko, Ljubljana IZDELAVA FOTOMASK ZA POSEBNE NAMENE NA SLIKOVNEM GENERATORJU PRODUCTION OF FOTOMASC FOR SPECIAL PURPOSES ON PATTERN GENERATOR 18.30 J .1 .Lokovšek, I.Macarol, Fakulteta za elektrotehnko , Ljubljana TEHNOLOGIJA VEZIJ NA POVRŠINSKO AKUSTIČNO VALOVANJE V GHz PODROČJU TECHNOLOGY FOR GHz SAW DEVICES 18.45 M.Hribšek, D .Vasiljevic , M.Popovic, Faculty ofElec-trical Engineering Belgrade Iskra Iskra Avtomatika avtomatizacija železniškega prometa avtomatizacija cestnega prometa avtomatizacija, daljinsko vodenje in telekomunikacije v energetiki najava požara in zaščita dostopa avtomatizacija industrijskih procesov avtomatizacija in mehanizacija varjenja krmiljenje obdelovalnih strojev usmerniški in napajalni sistemi ter naprave elementi avtomatizacije Iskra Iskra Industrija za avtomatiko 61000 Ljubljana, Stegne 15b Stegne 15b. tel.061 572 331, telex 31301 yuiskcsa Kotmkova 6. tel 061312 322, telex 31168 yu iskba* Navodila avtorjem Upute autorima Publikacija »Informacije MIDEM« je zainteresirana za prispevke domačih in inozemskih avtorjev — še posebej članov MIDEM—s področja mikro-elektronike, elektronskih sestavnih delov in materialov, ki jih lahko razvrstimo v naslednje kategorije: izvirni znanstveni članki, strokovni članki, pregledni strokovni članki, mnenja in komentarji, strokovne novosti, članki iiz prakse, članki in poročila iz delovnih organizacij, inštitutov in fakultet, članki in poročila o akcijah MIDEM, članki in poročila o dejavnostih članov MIDEM. Sponzorji MIDEM lahko brezplačno objavijo v vsaki številki publikacije po eno stran strokovnih informacij o svojih novih proizvodih, medtem ko je prispevek za objavo strokovnih informacij ostalih delovnih organizacij 40 000 din za običajno A4 stran in 60000din za A4 stran, ki vsebuje črno-belo fotografijo! Prispevek mora biti pripravljen tako: a) Imena in priimki avtorjev brez titul b) Naslov dela, ki ne sme biti daljši od 15 besed in mora jasno izražati problematiko prispevka c) Uvod — formulacija problema d) Jedro dela e) Zaključek f) Literatura i) Ime in priimek avtorjev, vključno s titulami in naslovi njihovih delovnih organizacij Rokopis naj bo jasno tipkan v razmaku 1,5 v širini 12 cm (zaradi montaže na A3 formatu in pomanjšave na A4 format) na A4 listih. Obseg rokopisa naj praviloma ne bo večji od 20 s strojem pisanih listov A4, na katerih je širina tipkanja 12 cm. Risbe je potrebno izdelati s tušem na pavs papirju ali belem papirju. Vsaka risba, tabela ali fotografija naj ima številko in podnapis, ki označuje njeno vsebino. Podnapisi za risbe, ki so široke do 12 cm, naj bodo tipkani do širine 12 cm, za risbe, ki so širše, pa širina podnapisa ni omejena. V tekstu je potrebno označiti mesto, kjer jih je potrebno vstaviti. Risbe, tabele in fotografije ni potrebno lepiti med tekst, ampak jih je potrebno ločeno priložiti članku. Delo je lahko pisano v kateremkoli jugoslovanskem jeziku, dela inozemskih avtorjev pa v angleščini ali nemščini. Avtorji so v celoti odgovorni za vsebino objavljenega sestavka. »Informacije MIDEM« izhajajo aprila, junija, septembra in decembra v tekočem letu. Rokopise, prosimo, pošljite mesec dni pred izidom številke na: Uredništvo »Informacije MIDEM« Elektrotehniška zveza Slovenije Titova 50 61000 LJUBLJANA Rokopisov ne vračamo. Publikacija »Informacije MIDEM« zainteresirana je za priloge domačih i inozemskih autora, na-ročito članova MIDEM. Priloge s područja mi-kroelektronike, elektroničkih sastavnih dijelova i materijala možemo razvrstati u sledeče skupine: izvorni znanstveni članci, stručni članci, prikazi stručnih članaka i drugih stručnih radova, mišljenja i komentari, novosti iz struke, članci i obavijesti iz prakse, članci i obavijesti iz radnih organizacija, instituta i fakulteta, članci i obavijesti o akcijama MIDEM, članci i obavijesti o djelatnosti članova MIDEM. Sponzori MIDEM mogu besplatno u svakome broju publikacije objaviti po jednu stranu stručnih informacija o svojim novim proizvodima. Ostale radne organizacije plačaju za objavljiva-nje sličnih informacija 40000din po jednoj obič-noj A4 stranici i 60000 din po A4 stranici sa crno-bijelom fotografijom. Priloži trebaju biti pripremljeni kako slijedi: a) Ime i prezime autora, bez titula b) Naslov ne smije biti duži od 15 riječi i mora jasno ukazati na sadržaj priloga c) Uvod u kojemu se opisuje pristup problemu d) Jezgro rada e) Zaključak f) Korištena literatura i) Imena i prezimena autora s titulama i nazivi-ma institucija u kojima su zaposleni. Rukopis treba biti uredno tipkan na A4 formatu u razmaku redova 1,5 i širini reda 12 cm (zbog montaže na A3 format i presnimavanja). U pravilu, opseg rukopisa ne treba prelaziti 20 tipkanih stranica A4 formata s redovima širine 12 cm. Crteže treba izraditi tušem na pausu ili bijelom papiru. Svaki crtež, tablica ili fotografija treba imati naziv i broj. Za crteže do 12 cm širine naziv ne smije biti širi od 12 cm Za crteže veče širine nije ograničena širina naziva. U tekstu je potrebno označiti mjesto za crteže. Crteže, tablice i fotografije ne treba lijepiti u tekst, več je potrebno priložiti ih članku odvojeno. Rad može biti pisan na bilo kojem od jugosla-venskih jezika. Radovi inozemnih autora trebaju biti na engleskom ili njemačkom jeziku, Autori odgovaraju u potpunosti za sadržaj objav-Ijenog rada. »Informacije MIDEM« izlaze u aprilu, junu, septembru i decembru tekuče godine. Rukopise za slijedeči broj šaljite najmanje mje-sec dana prije izlaska broja na: Uredništvo »Informacije MIDEM« Elektrotehniška zveza Slovenije Titova 50 61000 LJUBLJANA Rukopise ne vračamo. Sponzorji MIDEM Sponzori MIDEM GOSPODARSKA ZBORNICA — SPLOŠNO ZDRUŽENJE ELEKTROINDUSTRIJE SLOVENIJE, Ljubljana RAZISKOVALNA SKUPNOST SLOVENIJE, Ljubljana ISKRA — TOZD TOVARNA TELEVIZIJSKIH SPREJEMNIKOV, Pržan ISKRA — INDUSTRIJA KONDENZATORJEV, Semič ISKRA — INDUSTRIJA BATERIJ ZMAJ, Ljubljana ISKRA — DO MIKROELEKTRONIKA, Ljubljana ISKRA — IEZE TOZD POLPREVODNIKI, Trbovlje, ISKRA — COMMERCE TOZD ZASTOPANJE TUJIH FIRM, Ljubljana RIZ — KOMEL OOUR TVORNICA POLUVOD1ČA, Zagreb SELK — TVORNICA SATOVA, Kutina RIZ — KOMEL OOUR ELEMENTI, Zagreb ISKRA — ELEMENTI, Ljubljana ELEKTRONIK — PROIZVODNJA ELEKTRIČKIH UREDAJA, Zagreb ISKRA — AVTOMATIKA, Ljubljana FAKULTETA ZA ELEKTROTEHNIKO, Ljubljana ELEKTRONSKI FAKULTET, Niš RADE KONČAR — OOUR ELEKTROTEHNIČKI INSTITUT, Zagreb ISKRA — IEZE TOZD FERITI, Ljubljana Ei — RO POLUPROVODNICI, Niš ELEKTROTEHNIČKI FAKULTET, Zagreb ISKRA — CENTER ZA ELEKTROOPTIKO, Ljubljana BIROSTROJ, Maribor ISKRA — DELTA, Ljubljana INSTITUT JOŽEF ŠTEFAN, Ljubljana ISKRA — IEZE TOZD HIPOT, Šentjernej BELINKA — TOZD PERKEMIJA, Ljubljana GORENJE — DO PROCESNA OPREMA, Titovo Velenje ISKRA — AVTOELEKTRIKA — TOZD ŽARNICE, Ljubljana TEHNIŠKA FAKULTETA, Maribor INEX POTOVALNA AGENCIJA, Ljubljana KEMIJSKI INSTITUT BORIS KIDRIČ, Ljubljana Publikacija Informacije MIDEM izhaja po ustanovitvi Strokovnega društva za mikroelektroniko, elektronske sestavne dele in materiale — MIDEM kot nova oblika publikacije Informacije SSOSD, ki jo je izdajal Zvezni strokovni odbor za elektronske sestavne dele in materiale — SSOSD pri Jugoslovanski zvezi za ETAN od avgusta 1969 do 6. oktobra 1977 in publikacije Informacije SSESD, ki jo je izdajala Strokovna sekcija za elektronske sestavne dele, mikroelektroniko in materiale — SSESD pri Jugoslovanski zvezi za ETAN od 6. oktobra 1977 do 29. januarja 1986. Publikacija Informacije MIDEM izlazi posle osnivanja Stručnog društva za mikroelektroniku, elektronske sastavne delove i materijale — MIDEM kao nova forma publikacije Informacije SSOSD koju je izdavao Savezni stručni odbor za elektronske sastavne delove i materijale — SSOSD kod Jugoslavenskog saveza za ETAN od augusta 1969 do 6. oktobra 1977 i publikacije Informacije SSESD koju je izdavala Stručna sekcija za elektronske sastavne delove, mikroelektroniku i materijale kod Jugoslavenskog saveza za ETAN od 6. oktobra 1977 do 29. januara 1986.