UDK 621,3:(53+54+621+66)(05)(497.1 )=00 ISSN 0352-9045 Strokovno društvo za mikroelektroniko elektronske sestavne dele in materiale Strokovna revija za mikroelektroniko, elektronske sestavne dele in materiale Journal of Microelectronics, Electronic Components and Materials INFORMACIJE MIDEM, LETNIK 28, ŠT. 1(85), LJUBLJANA, marec 1998 fl Temperature INFORMACIJE MIDEM 1 01998 INFORMACIJE MIDEM LETNIK 28, ŠT. 1(85), LJUBLJANA, MAREC 1998 INFORMACIJE MIDEM VOLUME 28, NO. 1(85), LJUBLJANA, MARCH 1998 Izdaja trimesečno (marec, junij, september, december) Strokovno društvo za mikroelektroniko, elektronske sestavne dele In materiale. Published quarterly (march, june, september, december) by Society for Microelectronics, Electronic Components and Materials - MIDEM. Glavni in odgovorni urednik Dr. Iztok Šorli, dipl.ing., Editor in Chief MIKROIKS d.o.o., Ljubljana Tehnični urednik Executive Editor Uredniški odbor Editorial Board Časopisni svet International Advisory Board Naslov uredništva Headquarters Dr. Iztok Šorli, dipl.ing., Doc. dr. Rudi Babič, dipl.ing., Fakulteta za elektrotehniko, računalništvo in informatiko Maribor Dr.Rudi Ročak, dipl.ing., MIKROIKS d.o.o., Ljubljana mag.Milan Slokan, dipl.ing., MIDEM, Ljubljana Zlatko Bele, dipl.ing., MIKROIKS d.o.o., Ljubljana Dr. Wolfgang Pribyl, SIEMENS EZM, Villach mag. Meta Limpel, dipl.ing., MIDEM, Ljubljana Miloš Kogovšek, dipl.ing., Ljubljana Dr. Marija Kosec, dipl. ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Slavko Amon, dipl.ing., Fakulteta za elektrotehniko, Ljubljana, PREDSEDNIK - PRESIDENT Prof. dr. Cor Claeys, IMEC, Leuven Dr. Jean-Marie Haussonne, EIC-LUSAC, Octeville Dr. Marko Hrovat, dipl.ing., Inštitut Jožef Stefan, Ljubljana Prof. dr. Zvonko Fazarinc, dipl.ing., CIS, Stanford University, Stanford Prof. dr. Drago Kolar, dipl.ing., Inštitut Jožef Stefan, Ljubljana Dr. Giorgio Randone, ITALTEL S.l.T. spa, Milano Prof. dr. Stane Pejovnik, dipl.ing., Kemijski inštitut, Ljubljana Dr. Giovanni Soncini, University of Trento, Trento Prof.dr. Janez Trontelj, dipl.ing., Fakulteta za elektrotehniko, Ljubljana Dr. Anton Zalar, dipl.ing., ITPO, Ljubljana Dr. Peter Weissglas, Swedish Institute of Microelectronics, Stockholm Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 1000 Ljubljana, Slovenija tel.: +386 (0)61 31 28 98 fax: +386 (0)61 31 91 70 Iztok. Sorli@ guest, arnes.si http://pollux.fer.uni-lj.si/midem/journal.htm Letna naročnina znaša 12.000,00 SIT, cena posamezne številke je 3000,00 SIT. Člani in sponzorji MIDEM prejemajo Informacije MIDEM brezplačno. Annual subscription rate is DEM 200, separate issue is DEM 50, MIDEM members and Society sponsors receive Informacije MIDEM for free. Znanstveni svet za tehnične vede I je podal pozitivno mnenje o reviji kot znanstveno strokovni reviji za mikroelektroniko, elektronske sestavne dele in materiale. Izdajo revije sofinanci rajo Ministrstvo za znanost in tehnologijo in sponzorji društva. Scientific Council for Technical Sciences of Slovene Ministry of Science and Technology has recognized Informacije MIDEM as scientific Journal for microelectronics, electronic components and materials. Publishing of the Journal is financed by Slovene Ministry of Science and Technology and by Society sponsors. Znanstveno strokovne prispevke objavljene v Informacijah MIDEM zajemamo v: * domačo bazo podatkov ISKRA SAIDC-el, kakor tudi * v tujo bazo podatkov INSPEC Prispevke iz revije zajema ISI ® v naslednje svoje produkte: Sci Search® , Research Alert® in Materials Science Citation Index™ Scientific and professional papers published in Informacije MIDEM are assessed into: " domestic data base ISKRA SAIDC-el and " foreign data base INSPEC The Journal is indexed by ISI® for Sci Search®, Research Alert® and Material Science Citation Index™ Po mnenju Ministrstva za informiranje št.23/300-92 šteje glasilo Informacije MIDEM med proizvode informativnega značaja, za katere se plačuje davek od prometa proizvodov po stopnji 5 %. Grafična priprava in tisk BIRO M, Ljubljana Printed by Naklada 1000 izvodov Circulation 1000 Issues Poštnina plačana pri pošti 1102 Ljubljana Slovenia Taxe Perçue UDK621.3.(53 + 54 + 621 +66), ISSN03529045 Informacije MIDEM 28(1998)1, Ljubljana Obvestilo o konferenci MIDEM '98 2 Call for Papers - Conference MIDEM '98 ZNANSTVENO STROKOVNI PRISPEVKI PROFESSIONAL SCIENTIFIC PAPERS M. Šalamon, B. Jarc, T. Dogša: Uporabnost cenovno ugodnih CAE/CAD orodij za načrtovanje integriranih vezij 3 M. Šalamon, B. Jarc, T. Dogša: Applicability of Low Cost CAE/CAD Tool for Designing Integrated Circuits S. Šolar: Temperaturno in napetostno stabilni tokovni izvori v podmikrometerskih tehnologijah 9 S. Solar: Voltage and Temperature Independent Current Sources in Submicron Technologies J. Hauptmann, W. Pribyl, J. Sevenhans, Z. Chang: A/D in D/A pretvorniki - osnovni gradniki telekomunikacijskih vezij 18 J. Hauptmann, W. Pribyl, J. Sevenhans, Z. Chang: A/D and D/A Converters - Basic Building Blocks for Telecom Applications R. Čop: Razvoj strojne in programske opreme 22 R. Čop: The Development of Hardware and Software Components J. Pirš, R. Petkovšek, S. Pirš, S. Kralj, S. Žumer: Vpliv površinskih pogojev na strukturo zig-zag defektov v feroeiektričnih LCD prikazalnikih 25 J. Pirš, R. Petkovšek, S. Pirš, S. Kraij, S. Žumer: Influence of Surface Topography on Zig-zag Defects in Ferroelectric Liquid Crystal Displays D. Lisjak, M. Drofenik: PTCR efekt v kompozitni keramiki 33 D. Lisjak, M. Drofenik: PTCR Effect in Composite Ceramics M. Pavlin, D. Belavič, S. Šoba, S. Amon, U. Aljančič: Senzorji tlaka s tokovnim napajanjem 38 M. Pavlin, D. Belavič, S. Šoba, S, Amon, U. Aljančič: Pressure Sensors with Constant Current Excitation D. Belavič: Delovanje mešane raziskovalno razvojne skupine na področju hibridne debeloplastne mikroelektronike 43 D. Belavič: Activities of Joint Research and Development Group on Hybrid Microelectronics MIDEM IN NJEGOVI ČLANI, NOVICE IZ DRUGIH SREDIN MIDEM SOCIETY AND ITS MEMBERS, NEWS FROM OTHER INSTITUTIONS M. Budnar: Tandemski pospeševainik na IJS, Informacija ob postavitvi TANDETRONa 48 M. Budnar: Information on TANDETRON: New Accelerator at IJS PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE IJS Odsek za keramiko 54 IJS Department of Ceramics POROČILA REPORTS D. Vrtačnik: SEMICON Europa'98 55 D. Vrtačnik:SEMICON Europa'98 PRIKAZ MAGISTRSKIH DEL IN DOKTORATOV V LETU 1997 56 M.S. and PhD ABSTRACTS, YEAR 1997 VESTI 64 NEWS KOLEDAR PRIREDITEV 67 CALENDAR OF EVENTS MIDEM prijavnica 69 MIDEM Registration Form Slika na naslovnici: Shematski prikaz termično vzpodbujenega naraščanja viskoznosti keramične suspenzije, ki ji je dodan prah AIN in posnetek delcev AIN po hidrolizi (SEM). Postopek oblikovanja keramičnih izdelkov iz vodne suspenzije s pomočjo hidrolize AIN (HAS) je bil razvit na Institutu J. Štefan (SI patent 1995, Evropska patentna prijava 1998). Front page: Thermally activated viscosity increase for AIN containing ceramic suspensions (schematic) and AIN particles after hydrolysis (SEM). The process for forming ceramic parts from aqueous suspensions by hydrolysis assisted solidification (HAS) was invented at Jozef Stefan Institute (SI patent 1995, Europ. Patent application 1998). VSEBINA CONTENT 34th INTERNATIONAL CONFERENCE ON MICROELECTRONICS, DEVICES AND MATERIALS with the Satellite Minisymposium on SEMICONDUCTOR RADIATION DETECTORS Rogaška Slatina, SLOVENIA, September 23 - 25, 1998 34Ul International Conference on Microelectronics. J2fivices and Materials MIDEM '98, continues the tradition of annual international meetings organized by MIDEM Society, giving podium for presentations and discussions to scientists, researchers and engineers from academia, institutes and industry. Starting in ¡998, the programme of the MIDEM Conference wili be expanded by the satellite minisymposia, dedicated to each year's selected special topic. In the framework of the rnmisymposium, four to six invited speakers will present different aspects of the chosen topic, thus offering the audience valuable complete information. CONFERENCE TOPICS + Novel monolithic and hybrid circuit processing techniques + New device and circuit designs 4- Process and device modeling + Semiconductor physics Sensors and detectors +■ Semiconductor radiation detectors -4- Optoelectronics + Photovoltaic devices 4- New electronic materials and applications 4- Electronic materials science and technology + Materials characterization techniques 4- Reliability and failure analysis 4- Education MINISYMPOSIUM on SEMICONDUCTOR RADIATION DETECTORS The lectures will cover all aspects from semiconductor radiation detector processing, modeling, measurements, front-end electronics and applications. The attendees of the minisymposium "will be given a broad overview of these topics from a "tutorial" section, including state-of-the-art and future developments. The concepts developed are applicable also to other research areas, dealing with problems of low level light detection, low noise electronics, semiconductor parameter extraction, etc. •4- Oerhard Lutz, Max-Plank institute fur Phystk, Munich and MP1 HaJbleher, Munich, Germany. (Topic: Semiconductor radiation detector physics and structures) + Joseph Kemroer, KETEK GmbH, OberschleiSheim, Germany. (Topic: Semiconductor radiation detector devices and technology) Peter Wei Lhcimer, CERN, Switzerland. (Topic: Semiconductor radiation detector devices and applications) 4- Walt«* Bonvicini, Instituto Nazi on ale di Fisica Nucleare, Trieste, Italy. (Topic: Semiconductor radiation detector characterization and measurements) INVITEB SPEAKERS .of the MIDEM CONFERENCE: + SaSa Sokoli6*, Slavko Anion, Faculty of Electrical Engineering, University of Ljubljana, Slovenia. •METRONIK, Ljubljana, Slovenia. "Models for Carrier Transport in the Base of npn SiGe HBTs " -4- K. Reichmann, N. Koch, R. Resel, F. Meghdadi, G. Lelfiing, Sonderforschungsbereich "Elektroaktive Stoffe", Graz University of Technology, Graz, Austria. " Electrically Conductive Perovskite Type Materials " Marc H. LaBranche, Cornelius J. McCormick, Jerome D. Smith, Roupen L., Keusseyan, Robert C Mason, Mark A. Fahey, and Christopher R-S. Needs, DuPont Electronic Materials» Research Triangle Park, NC, and Kenneth W. Hang, DuPont Electronic Materials, Experimental Station, Wilmington DE. "Next-Generation, Advanced Thick Film Multilayer System " Hans Gugg-Schwaiger, Alcatel Mietec, Muenchen, Germany. " Alcatel Microelectronics 0.5pm Mixed CMOS Process " + Marko Topii, Franc Smole, Faculty of Electrical Engineering, University of Ljubljana, Slovenia. "Thin Film Color Detectors Based on Amorphous Silicon" CALL, FORPAPEHS Summaries: A summary not longer than 60 lines is required. It must clearly state what new results have been obtained and what techniques used. DeacUkte: Deadline for receiving the summaries is May 15®, 1998. Notification: Deadline for the notification of paper acceptance is June 30°\ 1998. Receipt of papers: Deadline for the camera ready manuscript of a paper is September I- 1998. Registration fee: Fui! 300 USD, MIDEM Members 250 USD Contact person for Conference: Mrs. Me ta Limpet, MIDEM c/o MIKROIKS, Dunajska 5, 1000 Ljubljana, SLOVENIA, tei.+386~61-312 898, fax.+386-61-319170, Email : Iz to k. So r lingue s tames, si Contact person for Minisymposium: Dr. Dejan Križaj, Laboratory for Electron Devices, Faculty of Electrical Engineering, University of Ljubljana, Slovenia, Tržaška 25, 1000 Ljubljana, Slovenia, tel.-386-61-1768303, fax. -386-61-126 46 30, Email : dejanJk@fe.uni-Ij.si More information on the Web page: http://polluK.fcnuni-Ij.si/midem/conf98,htm UDK621,3:(53 + 54+621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)1, Ljubljana UPORABNOST CENOVNO UGODNIH CAE/CAD ORODIJ ZA NAČRTOVANJE INTEGRIRANIH VEZIJ Matej Šalamon, Bojan Jarc, Tomaž Dogša Univerza v Mariboru, Fakulteta za elektrotehniko računalništvo in informatiko, Maribor, Slovenija Ključne besede: IC vezja integrirana, PC računalniki osebni, CAD-CAE sistemi, EDA orodja za avtomatizacijo snovanja elektronike, cene nizke, orodja snovalna, strukture geometrične, pravila snovanja, DRC preverjalniki skladnosti pravil snovanja, ekstraktorji, celice standardne, plasti izpeljane, plasti prepoznavne, datoteke definicijske Povzetek: Orodja za načrtovanje integriranih vezij so v preteklosti zahtevala uporabo dragih delovnih postaj in večjih računalnikov. Hiter razvoj PC računalnikov je omogočil, da so se razvijalci CAE/CAD programske opreme usmerili tudi na PC računalnike. Za zgled je v članku obravnavan L-Edit, ki se je pojavil že v obdobju DOS operacijskega sistema. Zanimala nas je predvsem uporabnost tega orodja. Iskali smo odgovore na naslednja vprašanja: Kakšne so omejitve tega paketa? Je možen prenos na delovno postajo? Je možno načrtovati tudi kompleksnejša integrirana vezja? Za referenčno orodje smo izbrali profesionalno načrtovalsko orodje COMPASS. Na obeh smo konfigurirali 3 fim P well HCMOS tehnologijo in izvedli paralelno načrtovanje. Delovanje posameznih modulov znotraj L-Edita smo preverili ročno in s primerjavo s COMPASSom. Ugotovili smo, da obravnavana sistema nista povsem kompatibilna. Nekompatibllnost se kaže v ignoriranju poligonskih povezav, delnem upoštevanju parazitnih elementov in nenatančni ekstrakciji vrednosti uporov. Kljub tem pomankljivostim je L-Edit uporaben za načrtovanje nizkokompleksnih integriranih vezij. Ker je možen prenos v COMPASS, lahko L-Edit uporabljamo za načrtovanje posameznih sklopov (modulov), katere kasnjeje integriramo v skupno vezje na delovni postaji. Applicability of Low Cost CAE/CAD Tool for Designing Integrated Circuits Keywords: IC, integrated circuits, PC, personal computers, CAD-CAE systems, (Computer Aided Design)-(Computer Aided Engineering) systems, EDA tools, Electronic Design Automation tools, low costs, design tools, geometrical structures, design rules, DRC, Design Rule Checkers, device extractors, standard cells, derived layers, recognition layers, extractor definition files Abstract: In past, integrated circuits design tools were able to run only on expensive workstations, with high computation power. With rapid development of personal computers some producers of CAE/CAD tools offered versions which runs also on PC platform. In this article, as an example, L-Edit design tool applicability is presented. The following question were considered: What are limitations of L-Edit design tool? Can be data from L-Edit transferred to other design tools? Is it possible to design complex integrated circuits with L-Edit? As reference COMPASS design tool, running on workstation platform, was chosen. On both computers 3 (im Low Voltage P well HCMOS technology was installed and parallel designs were made. Functionality of modules inside L-Edit with COMPASS design tool was compared. Conclusion was made that L-Edit and Compass tools are not fully compatible. We found out that: L-Edit ignores non orthogonal polygons, extract parasitic elements only partially and extract values of resistors are incorrect. Nevertheless it is useful for designing low complex integrated circuits and can be successfully used as supplementary designing tool. 1. Uvod Načrtovanje integriranih vezij zahteva posebna načrtovaiska orodja, ki so bila še do nedavnega omejena le na uporabo delovnih postaj in večjih računalnikov. Današnji hiter razvoj PC računalnikov in povečanje njihove procesne moči je pripomoglo k uveljavitvi cenejših načrtovalskih orodij, namenjenih preprostejšim operacijskim sistemom, kot sta npr. Windows in Linux. Načrtovalsko orodje L-Edit1 korporacije Tanner Tools je eno izmed takšnih. Uporabiti ga je mogoče tako na PC računalnikih, kot tudi na delovnih postajah2. Še posebej zanimiva je verzija za PC računal- nik (operacijski sistem Windows)3, ki ne zahteva drage strojne opreme4. V članku so najprej opisane splošne lastnosti programa L-Edit. Sledi opis dveh zelo pomembnih modulov: modula za preverjanje skladnosti geometrijske strukture z načrtovalskimi pravili ter modula za ekstrakcijo. Delovanje modulov, ki smo jih konfigurirali za 3 |j.m Low Voltage P-well HCMOS tehnologijo, smo primerjali z delovanjem referenčnih5, kar podrobno opisujemo v tretjem delu. Na osnovi problemov v fazi konfiguriranja ter rezultatov izvedene verifikacije smo prišli do določenih ugotovitev in zaključkov, ki so opisani v četrtem delu članka. 1 L-Edit - Layout Editor 2 Obstajajo verzije za: DOS, Windows, Unix in Macintosh. 3 Uporabljali smo verzijo L-Edit 5.18. 4 L-Edit lahko inštaliramo na osebnem računalniku s procesorjem 80386 ali boljšim, zahteva najmanj 4MB prostega spomina na trdem disku in 4MB RAM pomnilnika. 5 V našem primeru gre za DRC modul in ekstraktor orodja Compass. 3 Informacije MIDEM 28(1998)1, str. 3-8 M. Šalamon, B. Jarc, T. Dogša: Uporabnost cenovno ugodnih CAE/CAD orodij za načrtovanje integriranih vezij 2. Splošne lastnosti programa L-Edit L-Edit (Full Custom Layout Editor) je interaktivni grafični urejevalnik za načrtovanje geometrijske strukture integriranih vezij. Opremljen je z naslednjimi moduli /1/: • modul za avtomatsko razmeščanje in povezovanje standardnih celic (SPR - Standard Celi Place & Route), • modul za preverjanje skladnosti geometrijske strukture z načrtovalskimi pravili (L-Edit/DRC - Online Design Rule Checker), • modul za ekstrakcijo (L-Edit/Extract - General Device Extractor), • modul za generiranje prečnega prereza (L-Edit/CSV - Cross Section Viewer). Grafični urejevalnik omogoča načrtovanje 1000000 in več elementov ter neomejeno število plasti, celic in hierarhičnih nivojev. Podpira standardna formata datotek GDS II in CIF /1/ (generiranje in čitanje). 2.1 Modul za preverjanje skladnosti geometrijske strukture z načrtovalskimi pravili - DRC modul Načrtovalska pravila predstavljajo skupek minimalnih širin, razdalj in napotkov za izdelavo mask /2, 3/. Specifična so za vsako tehnologijo in jih lahko dobimo od proizvajalca. V kolikor jih pri načrtovanju ne upoštevamo, izdelano integrirano vezje ne bo imelo pričakovanih lastnosti in se morda ne bo dalo izdelati. Proces preverjanja skladnosti geometrijske strukture z načrtovalskimi pravili je avtomatiziran znotraj načrtoval-skega paketa. Vnos načrtovaiskih pravil opravimo ob vzpostavitvi delovnega mesta za načrtovanje integriranih vezij, izkaže pa se, da jih je, zaradi nedoslednosti ob prvem vnosu ali zaradi sprememb v samem procesu izdelave integriranih vezij, potrebno s časom tudi dopolnjevati. Konfiguriranje DRC modula smo izvedli v dveh korakih: - določitev izpeljanih plasti - vnos načrtovaiskih pravil po specifikacijah proizvajalca. Načrtovalska pravila se ne nanašajo samo na osnovne plasti oziroma maske, temveč tudi na izpeljane plasti6. Izpeljane plasti določamo z logičnimi operatorji AND, OR in NOT, zraven tega imamo na voljo tudi funkcijo GROW, ki omogoča povečavo ali pomanjšavo izbranega objekta za željeno vrednost jam oziroma enot X. Pri določitvi dimenzij izbrane plasti lahko izbiramo med naslednjimi parametri: - minimalna širina (min. width) - točna širina (exact width) in - ne obstaja (not exist). Odnos med dvema plastema določimo glede na možnosti: - prekrivanje (overlap), - podaljšanje (extension), - razmik (spacing) in - obdajanje (surround). Možnosti razmik in obdajanje sta izjemi, saj ju lahko v določenih primerih ignoriramo. 2.2 Modul za ekstrakcijo Funkcija modula za ekstrakcijo je analizirati načrtovano geometrijsko strukturo in v njej prepoznati elemente, definirane v posebni tehnološki datoteki ter definicijski datoteki ekstraktorja7 /1,2/, V ekstrakcijskem modulu potekata dva pomembna procesa: - prepoznavanje in - translacija. Pri procesu prepoznavanja gre za iskanje predhodno definiranih skupin geometrijskih objektov oziroma vzorcev po narisani geometrijski strukturi. Definirane skupine narisanih objektov predstavljajo namreč posamezne elektronske elemente. Proces prepoznavanja je tesno povezan s procesom translacije, ki prepoznane elemente zapiše v SPICE-kompatibilno tekstovno datoteko8. Ta vsebuje vse informacije o prepoznanih elementih, njihovih medsebojnih povezavah in drugih parametrih. Tako generirana datoteka, omogoča nadaljnjo simulacijo načrtovane geometrijske strukture s standardno verzijo simulatorja SPICE. Konfiguriranje ekstraktorja smo izvedli v treh korakih: - določitev nabora elementov in povezav, ki naj bodo ekstrahirani, - določitev plasti, ki enoumno določajo želene elemente in povezave, - vnos elementov in povezav v definicijsko datoteko ekstraktorja. Nabor elementov, ki jih je moral ekstraktor identificirati v 3 jim P-Well HCMOS tehnologiji, je bil naslednji: NMOS tranzistor, PMOS tranzistor, poly upor, p-well upor, poly1-poly2 kondenzator, poly1 -poly2-metal kondenzator in dioda. Vsak element se sestoji iz ene ali več procesnih plasti oziroma mask. Posamezne plasti elementa tvorijo vzorec, ki ga mora ekstraktor enoumno prepoznati. Ekstraktor v prvi fazi prične s prepoznavanjem posebnih prepoznavnih plasti®. Posamezni element je namreč sestavljen iz lastne prepoznavne plasti in drugih, ki so vezane na prepoznavanje njegovih priključkov. Priključke elementa prične prepoznavati oziroma iskati šele v drugi fazi, ko je na osnovi prepoznavne plasti ugotovil za katerega od definiranih elementov pravzaprav gre. 6 Angl. Derived Layers. Imena teh lahko poljubno izbiramo. 7 Angl. Extractor Definition File. To je tekstovna datoteka s končnico *.EXT in jo je moč urejati s tekstovnim urejevalnikom - Izven programa L-Edit. 8 SPICE (Berkeley 2G6) netlist format 9 Angl. Recognition layer 4 M. Šalamon, B. Jarc, T. Dogša: Uporabnost cenovno ugodnih CAE/CAD orodij za načrtovanje integriranih vezij Informacije MIDEM 28(1998)1, str. 3-8 Slika 1: Proces ekstrakcije. Določitev plasti v tehnološki datoteki: a) prepoznavna plast za PMOS tranzistor: kontakl RLA YER=ptr-~-Poly AND pdifAND mrell b) definicija priključkov: Drain =DS-NOT(Polyj AND pdifAND nw.ll Source~DS~NOT(Poly) AND pdifAND mvell Gate=Poly Bulk^mvell Opis PMOS tranzistorja v definicijski datoteki ekstraktorja: Source Gate I Poly Drain Metal Bulk com\ect(Poly, Metal, kontakt) ti PMOS transistor , device = MOSFET( RLAYER=ptr; Drain=DS, WIDTH; Gate=Poly; Source=DS, WIDTH; Bulk=nwell; MODEL=PT; Slika 2: Primer konfiguriranja ekstraktorja za PMOS tranzistor. 5 Informacije MIDEM 28(1998)1, str. 3-8 M. Šalamon, B. Jarc, T. Dogša: Uporabnost cenovno ugodnih CAE/CAD orodij za načrtovanje integriranih vezij Prepoznavna plast in plasti, ki so potrebne za prepoznavanje priključnih sponk nekega elementa, so sestavljene iz osnovnih10 in izpeljanih plasti. Osnovne plasti so tehnološke plasti oziroma maske, ki so potrebne pri postopku izdelave integriranega vezja medtem, ko so izpeljane plasti le pomožne in pri postopku izdelave integriranega vezja nimajo nobene vloge. Vse plasti in tehnološki parametri so shranjeni v tehnološki datoteki (*.TDB), ki poleg teh, vsebuje še narisano geometrijsko strukturo. Definiranju potrebnih plasti je sledil vnos potrebnih informacij v definicijsko datoteko ekstraktorja. Ta vsebuje listo povezav in informacije o vseh elementih, ki jih je moč ekstrahirati. Vsebina te datoteke se torej navezuje oziroma izhaja iz predhodno definiranih plasti, shranjenih v tehnološki datoteki. 3. Verifikacija DRC modula in modula za ekstrakcijo Konfiguriranju obeh modulov v programu L-Edit je sledila njihova verifikacija. Izvedli smo primerjavo med referenčnimi rezultati, generiranimi s pomočjo programa Compass in rezultati, dobljenimi s pomočjo programa L-Edit. 3.1 Verifikacija DRC modula Preverjanje DRC modula je potekalo v dveh korakih: - sprotno preverjanje, - končno preverjanje s testnimi vzorci in obsežnim vezjem, izdelanim z orodjem Compass. Pri sprotnem preverjanju smo ob vsakem novo dodanem načrtovalskem pravilu le-to preverili z: - nedovoljeno vrednostjo (premajhna širina ...) in - mejno, še dovoljeno vrednostjo, definirano s pravilom (minimalna širina ...). Končno preverjanje smo izvedli s pomočjo testnih vzorcev in obsežnega vezja, ki smo jih dobili od načrtovalca integriranih vezij. Na osnovi rezultatov smo vnesli popravke in odpravili napake, v kolikor je bilo to mogoče oziroma v nasprotnem primeru, sestavili seznam pravil, ki niso upoštevana. 3.2 Verifikacija modula za ekstrakcijo Delovanja ekstraktorja smo preverjali s pomočjo testnih vezij, ki smo jih izbrali tako, da so bili v njih zajeti vsi elementi, ki jih mora ekstraktor, na osnovi narisane geometrijske strukture, prepoznati. - Verifikacijo ekstraktorja smo izvedli na dva načina: - verifikacija v smeri L-Edit - Compass - verifikacija v smeri Compass - L-Edit 3.2.1 Verifikacija ekstraktorja v smeri L-edit - Compass Verifikacijo smo opravili s testnimi vezji, narisanimi v skladu z načrtovalskimi pravili. S tem smo posredno preverjali tudi pravilnost delovanja modula DRC. Testna vezja smo ekstrahirali. Rezultat ekstrakcije so bile liste povezav oziroma izhodne SPICE datoteke, ki smo jih dopolnili z modeli in zahtevami za različne analize (AC, DC, TRAN...). Rezultate analiz smo nato opazovali s pomočjo grafičnega postprocesorja INTUSCOPE. a) Slika 3: a) Postopek verifikacije v smeri L-Edit - Compass b) Postopek verifikacije v smeri Compass - L-Edit b) 1 0 Imena teh plasti moramo dobiti od proizvajalca. M. Šalamon, B. Jarc, T. Dogša: Uporabnost cenovno ugodnih CAE/CAD orodij za načrtovanje integriranih vezij Informacije MIDEM 28(1998)1, str. 3-8 V naslednjem koraku smo izvedli pretvorbo datotek formata TDB11 v standardizirani format CIF in prenesli geometrijske strukture testnih vezij v program Com-pass. Tukaj smo nato ponovili proces ekstrakcije, s čimer smo ponovno prišli do izhodnih SPICE datotek. Te smo nato preoblikovali in dopolnili z istimi analizami, parametri in modeli, kot v primeru L-Edit. S tako preoblikovanimi datotekami smo ponovno izvedli simulacijo. Opisanemu je sledila primerjava rezultatov, dobljenih s pomočjo ekstraktorja L-Edit in tistimi, ki smo jih dobili s pomočjo referenčnega ekstraktorja Compass. 3.2.2 Verifikacija ekstraktorja v smeri Compass - L-Edit V tem primeru smo izvedli obratno pot. Testna vezja, narisana s programom Compass, smo ekstrahirali in na osnovi dobljenih datotek, dopolnjenih z analizami, izvedli SPICE simulacijo. Geometrijske strukture vezij smo nato, preko CIF formata, prenesli v L-Edit in tukai izvedli ponovno ekstrak-cijo vsakega posameznega testnega vezja. V obeh sistemih (L-Edit in Compass) smo tako prišli do rezultatov, na osnovi katerih smo lahko sklepali o pravilnosti delovanja ekstraktorja v programu L-Edit. Na sliki 4 je prikazana testna geometrijska struktura, v kateri zasledimo preko 100 tranzistorjev. Načrtovana je bila s pomočjo standardnih celic. 14MI si 4 * illišiilllllililliliisi K K v J , s i K i i1 ; ■ t Jf> « li 1 ' 1 < » -t » " i 1 Slika 4: Geometrijska struktura testnega vezja, ki predstavlja zakasnilno linijo, sestavljeno iz štirih D-flip flopov. Primerjava rezultatov SPICE simulacije, prikazanih na sliki 5 kaže, da med sistemoma L-Edit in Compass ni prišlo do opaznih razlik, ki bi kazale na nepravilno L-Edit ekstrakcijo. CLK VIN n -«I n n n n n n I I i i -n vou r3(L-E dit) -VOUI (L-Edi ) vojj T3 (Cc -(T> »mpass WF\ VOU I (Comf ass) i 10.00U 30.0U 50.0U 70.01) TIME in Secs 90.0U Slika 5: Primerjava rezultatov simulacije za geometrijsko strukturo prikazano na sliki 4, ekstrahi-rano z orodjem L-Edit in Compass. 4. Rezultati in ugotovitve S primerjavo rezultatov, dobljenih iz referenčnega sistema Compass in sistema L-Edit smo ugotovili, da se delovanje obravnavanih modulov v obeh sistemih vendarle nekoliko razlikuje. Razlog so pomanjkljivosti načrtovalskega orodja L-Edit, na katere pa uporabnik žal ne more vplivati. Odkrili smo namreč naslednje pomanjkljivosti: • Nezmožnost detektiranja dotikanja dveh plasti Ker se načrtovalska pravila ne nanašajo samo neposredno na maske in identifikacijske plasti, je potrebno kreirati več novih izpeljanih plasti. Načrtovalski paket L-Edit omogoča kreiranje izpeljanih plasti z logičnimi operacijami AND, OR, NOT in GROVV. Ugotovili smo, da nabor ni zadosten, saj nekaterih posebnosti, kot je na primer dotikanje dveh plasti, ni moč detektirati. Tako je nekaj pravil Izpadlo iz avtomatskega preverjanja in jih mora upoštevati načrtovalec sam. • Plastem ne moremo definirati različne minimalne širine in dolžine Ob vnosu načrtovalskega pravila v načrtovalski paket L-Edit, specificiramo lastnost ene ali odnos med dvema plastema. Ponovno smo ugotovili, da nabor lastnosti ni zadosten, saj za plast-objekt ne dovoljuje določitve različne širine in dolžine. Takšen primer je bil kontakt, ki je moral za obravnavano 3 ¡im tehnologijo imeti širino točno 3 p, dolžina pa je bila od 3 p do 6 p, s korakom 1 |_im. Pri definiciji odnosa med dvema plastema nabor ni bil zadosten v primeru, ko smo želeli definirati maksimalno oddaljenost kontaktne blazinice od kontakta z difuzijo12. To pravilo ni bilo vključeno (ga ni bilo možno vključiti) tudi v referenčnem načrtoval-skem orodju Compass. 11 Datoteke s testnimi vzorci. 12 Minimum distance pad metal to diffusion contact 7 Informacije MIDEM 28(1998)1, str. 3-8 M. Šalamon, B. Jarc, T. Dogša: Uporabnost cenovno ugodnih CAE/CAD orodij za načrtovanje integriranih vezij • Ignoriranje poligonskih povezav, ki niso orto-gonalne Ugotovili smo, da algoritem za preverjanje skladnosti geometrijske strukture z načrtovalskimi pravili programskega paketa L-Edit preverja razdalje samo po vertikalni in horizontalni osi, z najmanjšim korakom lambda. Korak lambda je odvisen od tehnologije in ga lahko nastavimo sami, torej ne predstavlja omejitve. Ker pa algoritem preverja razdalje le po x in y osi, pri preverjanju ignorira poligone, ki niso ortogonalni. To štejemo kot resno omejitev, ki pa je, kolikor nam je znano, v novejši verziji 6.05, odpravljena. Ta omejitev je še veliko bolj očitna pri modulu za ekstrakcijo, kjer tovrstno ignoriranje povzroči topološko nepravilnost ekstrahirane datoteke. • Medsebojni parazitni elementi plasti niso upoštevani Narisano integrirano vezje lahko prevedemo v vezje diskretnih elementov s pomočjo ekstraktorja z namenom, preveriti pravilnost povezav ali izvesti simulacijo vezja. V kolikor nas zanima simulacija vezja, lahko opravimo ekstrakcijo s parazitnimi elementi. Običajno upoštevamo le parazitne upornosti in kapacitivnosti ne pa induktivnosti. Za pravilno ekstrakcijo vezja s parazitnimi kapacitivnostmi in upornostmi moramo imeti možnost vsaki plasti definirati površinsko parazitno upornost in kapacitivnost proti substratu. Hkrati pa moramo imeti tudi možnost definiranja parazitne kapacitivnosti in prevodnosti med poljubnima plastema, kar načrtovalski paket L-Edit ne podpira. Tako s SPICE simulacijo npr. ni moč zaznati presluha med dvema linijama, ki tečeta ena nad drugo (ločuje ju oksid) in nista povezani s kontaktom. • Prepoznani diskretni elementi (upor, kondenzator) so idealni; način izračuna upornosti je neprimeren Plast, ki jo prepoznamo kot povezavo med dvema elementoma, ima vnaprej definirano upornost nič ohmov in ima samo parazitno kapacitivnost proti substratu. Podobno velja za upor, ki mu ne moremo definirati parazitne kapacitivnosti ter za kondenzator, ki mu ne moremo definirati prevodnosti. Zaradi želje po kompati-bilnosti s programskim paketom Compass smo privzeli enak način risanja elementov, kar je povzročilo še naslednje omejitve: - pri izračunu upornosti uporov nismo mogli definirati upornosti kontaktov - izračunana oziroma ekstrahirana upornost je pravilna le za ravne upore v p-otoku - za upore iz polisilicija pa v nobenem primeru. 5. Sklep Drastično povečanje procesne moči osebnih računalnikov v zadnjih nekaj letih je med drugim prav gotovo tudi pripomoglo k razvoju orodij za načrtovanje integriranih vezij, katerih osnova je operacijski sistem DOS oziroma Windows. Med tovrstna načrtovalska orodja spada orodje L-Edit, katerega preprostost in uporaba nezahtevne strojne opreme nakazujeta bistveno cenejšo možnost načrtovanja, ki je bila še do nedavnega vezana na uporabo dragih delovnih postaj. Kompatibilnost obravnavanih orodij ni popolna. Eden izmed glavnih vzrokov za to so pomanjkljivosti in omejitve orodja L-Edit, ki jih opazimo v: - ignoriranju poligonskih povezav, kar ima zelo očitne posledice - nepravilno ekstrakcijo geometrijske strukture, v kateri so bile tovrstne povezave uporabljene - le delnem upoštevanju parazitnih elementov, kar pomeni, da analiza ekstrahiranega vezja pri visokih frekvencah, kjer je vpliv parazitnosti očiten, ne daje povsem pričakovanih rezultatov - nenatančni ekstrakciji vrednosti uporov, ki je vsekakor posledica nespremenljivih internih izračunov. Prednosti načrtovalskega orodja L-Edit: - preprost uporabniški vmesnik, zaradi katerega je L-Edit uporaben tudi za izobraževalne namene, - uporaba PC računalnika z nezahtevno strojno opremo, - podpora standardnih formatov GDS II in CIF, s pomočjo katerih je omogočen prenos načrtovane geometrije tudi na druga tovrstna orodja. Kljub pomanjkljivostim in omejitvam orodja je L-Edit preprosto, zanimivo in prijazno načrtovalsko orodje, katerega dovršenost lahko v prihodnosti pripomore doseči nivo profesionalnih načrtovalskih orodij, ki trenutno še zmeraj zahtevajo delovne postaje ter večje računalnike 6. Literatura /1/A. S. Taylor, R. Mathur, A. Andke, L-Edit Layout Manual (version 5), Tanner Research, Inc., Oct. 1995 /2/ J. P. Uyemura, Physical Design of CMOS Integrated Circuits Using L-EDIT , PSW Publishing Company 1994 /3/ B. Doki, Načrtovanje integriranih vezij s Tanner Tools, Diplomsko delo, Univerza v Mariboru, Fakulteta za elektrotehniko računalništvo in informatiko, Jun. 1995 /4/ B. Jarc, M. Šalamon: Uporabnost orodja L-Edit za načrtovanje kompleksnejših integriranih vezij, Zbornik šeste Elektrotehniške in računalniške konference ERK'97, Sept. 1997 Matej Šalamon, dipl.inž.el. Bojan Jarc, dipl.inž.el. doc.dr. Tomaž Dogša Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko Smetanova 17, 2000 Maribor, Slovenija tel: 062-220-7236 Fax: (386) 062-211-178 Prispelo (Arrived): 27.2.1998 Sprejeto (Accepted): 17.4.1998 8 UDK621,3: (53+ 54+621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)1, Ljubljana TEMPERATURNO IN NAPETOSTNO STABILNI TOKOVNI IZVORI V POD MIKROMETERSKIH TEHNOLOGIJAH S. Solar Laboratorij za mikroelektroniko, Fakulteta za elektrotehniko, Ljubljana Ključne besede: polprevodniki, IC vezja integrirana, CMOS vezja, band-gap izvori napetosti, delilniki napetosti, zrcala tokovna, izvori toka, tehnologije submlkronske, natančnost izvorov toka, orodja programska, orodja strojna računalniška, napajalniki napetosti, snovanja nova, stabilnost temperaturna visoka, stabilnost toka visoka, odvisnost mala od napetosti napajalne, poraba mala energije električne, območje napetostno od 1,1 -2,0 V Povzetek: V prispevku so predstavljeni integrirani tokovni izvori z ustreznimi tokovno-napetostnimi karakteristikami v temperaturnem območju -40ç— -500u •im C ■1.5m M -2m C £ -2.5m D O -3m -3.5m : --X?= - -------t------r -.....- - -------..... rrrïrr-rU^rTrîTrr-^ ; j L ---^ ; J • ^^ . -------;------J-------:---------J. _ _ _ —. .., WO 3.5 4 4.5 Voltages (lin) (vdd) 5,5 SI. 2.6 Elektriška poraba v odvisnosti od procesnih parametrov in temperature. 15 Informacije MIDEM 28(1998)1, str. 9-17 S. Šolar: Temperaturno in napetostno stabilni tokovni izvori v pod mikrometrskih tehnologijah rO SI. 3.1 Primer tokovnih zrcal za simulacijo pri nizkih tokih W av.e__________Sym.fr.ol DO:AO:i(v5) 30:A0:i(v8) '3" Ways Symbol Dl:A0:i{v5) 0l:A0:i(v8) 400p 350p 300p ^ 250p c 2 200p O 150 p ICOp 50p 0 Voltages (lin) (vdd) Pane!2 — --------- ------------- -.................. 1 , s' 1 / ' '' s' X ' ^ ' - ^ * s'' < X " L---"'"' ! • -- - - Jp- Voilages (lin) (vdd) SI. 3.2 Izhodna tokova i(v5), i(v8) pri simulaciji vezja si. 3.1 s tranzientno in z enosmerno analizo ter treh temperaturah. 16 S. Šolar: Temperaturno in napetostno stabilni tokovni izvori v pod mikrometrskih tehnologijah Informacije MIDEM 28(1998)1, str. 9-17 Tab. 2 Izhodna toka i/2 in i sta prikazana za tri temperature in pet vrst procesnih parametrov ob pravilno izbranih vhodnih napetostih v(ip vss) PARAMETRI T [oCl v(ip vss) [V] i/2 InAJ [nA] -40 0.980 275 + - 5 549 + - 10 tin 40 0.895 278 + -_5 556 +- 10 120 0.813 281 + -5 561 +-10 -40 0.892 286 + -5 572 + - 10 wp 40 0.804 289 + -5 579+ - 10 120 0.712 292 + - 5 584+ - 10 - 40 1.050 266 + - 5 531 +- 10 ws 40 0.966 269 + - 5 539 + - 10 120 0.881 272+ -5 543 + - 10 -40 1.050 286 + - 5 571 +-10 wz 40 0.965 289 + - 5 578 +- 10 120 0.885 291 + - 5 583 + - 10 -40 0.893 266 + - 5 532 + - 10 WO 40 0.805 270+ -5 539+ - 10 120 0.721 272 + - 5 545 + - 10 Kvaliteta tokovnih izvorov je odvisna od topologije integriranega vezja. Posebno skrbno je potrebno načrtati topologije ojačevalnika, delilnikov napetosti in bipolarnih tranzistorjev. Za tokovne izvore z nizkimi napajalnimi napetostmi od 1 do 2 V še nimamo orodij, ki bi zagotavljali zanesljivost simulacij analognih integriranih vezij. Obogatena programska orodja spice s programskimi orodji suprem, pisces in drugimi za tridimenzionalne simulacije gradnikov v siliciju bodo skupaj z vse zmogljivejšimi strojnimi orodji omogočala raziskovalcem čudovite pristope pri iskanju novih realizacij. Literatura /1/ Phlllip E. Allen, CMOS Analog Circuit Design 1985 /2/ Philllp E. Allen, Band-Gap Voltage Reference /3/ Interna poročila LME FE /4/ Philllp E. Allen, VVillson Current Source Zahvala: Zahvaljujem se vodstvu Laboratorija za mikroelek-troniko, Fakultete za elektrotehniko v Ljubljani, ki mi je omogočilo uporabo programske in strojne opreme. Zahvala velja tudi sodelavcem LME, ki so z nasveti pomagali iskati optimalno uporabo programskih in strojnih orodij. 4. Zaključek Pokazal sem možnost načrtovanja tokovnih izvorov z band-gap napetostnim izvorom za napajalne napetosti večje od 2 V, ki jim lahko povečamo notranjo upornost z uporabo vezja na si. 4.1 /4/. Integrirani tokovni izvori so v načrtovanem tolerančnem območju in ga lahko še izboljšamo z razširitvijo občutljivosti doravnavanja. mag. Stanislav Šolar, dipl. ing. Laboratorij za mikroelektroniko, IAE Maribor Cankarjeva 24, Maribor Laboratorij za mikroelektroniko Fakulteta za elektrotehniko, Ljubljana Prispelo (Arrived): 7.4.1998 Sprejeto (Accepted): 17.4.1998 HE HE -IE ¡pi -o SI. 4.1 Tokovni izvori z zmanjšano odvisnostjo od napajalne napetosti 17 Informacije MIDEM 28(1998)1, Ljubljana UDK621,3:(53+54+621 +66), ISSN0352-9045 A/D AND D/A CONVERTERS - BASIC BUILDING BLOCKS FOR TELECOM APPLICATIONS J. Hauptmann, W. Pribyl Siemens Entwicklungszentrum fur Mikroelektronik Ges.m.b.H, Villach, Austria J. Sevenhans, Z.-Y. Chang Alcatel Bell, Antwerpen, Belgium Keywords: telecommunication systems, semiconductors, CMOS technologies, submicron technologies, IC, Integrated Circuits, low supply powers, ADSL, Asymmetric Digital Subscriber Lines, VDSL, Very high bit rate Digital Subscriber Lines, A-D converters, Analog-Digital converters, D-A converters, Digital-Analog converters, ALCATEL production company, SIEMENS production company Abstract: Emerging telecom systems such as ADSL, VDSL demand state-of-the-art high speed and high resolution A/D and D/A converters. Moreover, cost and power consumption issues require the use of specific A/D and D/A architectures to achieve the wanted resolution at the required speed for the minimum power. In the first part of this paper an overview is given of various A/D and D/A converter architectures used in Alcatel telecom systems over the past 15 years. Emphasis is placed on the evolution of A/D and D/A converters for today's ADSL applications. Then design considerations for high speed and high resolution pipelined A/D converters for future VDSL technology will be addressed. A/D in D/A pretvorniki - osnovni gradniki telekomunikacijskih vezij Ključne besede: sistemi telekomunikacijski, polprevodniki, CMOS tehnologije, tehnologije submikronske, IC vezja integrirana, moči napajalne male, ADSL linije naročniške digitalne asimetrične, VDSL linije naročniške digitalne s hitrostjo bitov zelo veliko, A-D pretvorniki analogno-digitalni, D-A pretvorniki digltalno-analogni, ALCATEL družba proizvodna, SIEMENS družba proizvodna Povzetek: Telekomunikacijski sistemi bližnje prihodnosti, kot so ADSL in VDSL zahtevajo moderne hitre A/D in D/A pretvornike z visoko ločljivostjo. Dodatne zahteve po nizki ceni In porabi narekujejo uporabo posebnih A/D in D/A arhitektur, s katerimi dosegamo željeno ločljivost pri narekovani hitrosti in majhni porabi. V prvem delu tega prispevka podajamo pregled različnih arhitektur A/D in D/A pretvornikov, ki so bili v uporabi zadnjih 15 let v telekomunikacijskih sistemih firme Alcatel. Poseben poudarek smo dali na opisu razvoja A/D in D/A pretvornikov za uporabo v današnjih ADSL sistemih. Prispevek zaključimo z opisom načrtovanja visokoločljivih serijskih A/D pretornikov za bodoče VDSL tehnologije. 1. INTRODUCTION The telecom exchanges and subscriber lines have gone through an amazing evolution over the past 15 years where A/D and D/A conversions have played an important role. Over the past 15 years telecom switching and subscriber lines have gone from reed relays and transformers to digital switching and semiconductor subscriber line interface circuits (SLIC). The availability of the semiconductor technology has modified the size of a public exchange from a building full of racks to a rack full of ASIC's and the key to this success story of new technology is the CMOS A/D and D/A conversion. CMOS transistors in A/D and D/A conversion in the late seventies had gate lengths over 5 jum and supply voltages + and -5 V, Vt's over 1 V, etc. So supply voltage has gone down by a factor of 3 and transistor sizes by a factor of 20 since those days, and both supply voltage and gate length continue to go down hand in hand now. Yes, for the cost, the transistor size has to go further down into very deep submicron, 0.25 micron is almost In production and the ambition of technology research to further reduce the gate length Is stronger than ever. New telecom applications need high resolution, high speed A/D and D/A at very low power consumption. This is what's driving the use of specific A/D and D/A architectures: provide the wanted resolution at the required speed for the minimum power consumption and the minimum silicon cost. To achieve this, circuitry in A/D and D/A has gone through the evolution from single ended to balanced, from vertical cascodes to folded cascodes, from differential input pairs to constant gm complementary inputs. And many architectures have been applied such as successive approximation, full flash, sigma delta, pipelined and etc. Each of these architectures have their specific advantages and drawbacks: a switched capacitor CMOS SD A/D for 4 MHz Nyqulst sampling and 12 bit resolution will cost you 0.9 W power consumption /1/, can you afford it on a subscriber line in a low cost rack containing 200 line interfaces? Using a pipelined architecture the power consumption will be an order of magnitude better, but you need a correction algorithm to compensate for the errors in the sub-ADC and a careful circuit and layout design to guarantee the required capacitor matching accuracy in your pipeline. 2. A/D AND D/A CONVERTERS IN TELECOM Some of the above mentioned A/D and D/A architectures have been applied in telecom applications (see Table 1.). Sometimes for the right reason. For example the use of SD modulators for speech /2/. 18 J. Hauptmann, W. Pribyl, J. Sevenhans, Z.-Y. Chang: A/D and D/AConverters - Basic Building Blocks for Telecom Applications Informacije MIDEM 28(1998)1, str. 18-21 Since the early eighties, second order SD modulators are used on analog phonelines for A/D and D/A conversion (Fig. 1,2) for several good reasons. The first reason is the high sampling frequency or the large oversam-pling ratio (OSR). In the decimator filter this high sampling rate is divided down to the 8 kHz speech sampling and the bit resolution is going from 1 bit to 11...12 bit. The digital decimator filter separates the speech spectrum much more accurate than the analog filters and for less power consumption. The 1 MHz sampling frequency gives us room for a simple anti-aliasing filter, e.g. an RC second order active filter. The integrated R and C are linear enough to meet the 60...70 dB dynamic range and the tolerance on the absolute value is easy because of the high oversampling. Table 1. A/D arid D/A architectures for telecom application Applic. Year Vdd Techn SNR OSR Art) & D/A Typt P ■W Specch 1980 ±5V 5 11 NMOS Kbit A/u 8kHz S)icc appr. A/D A-law/u-law 50mW 1585 ±5V 2,4 li CMOS 12 bil 1 MHz 128.x 2nd order SD A/D 20 mW 1990 +5V 1.2 u CMOS 13 bil 2 MHz 25ÓX 2nd order SD A/D 6 mW 4 mW ¡995 -5V 0.7 n CMOS 14 bit 2 MHz 256.x 2nd order SD A/D 5 mW 3 mW ISDN 19X7 +5V 2)' CMOS 10 bil 16 MHz 128s 2nd order SD A/D 15 roW 1996 +3V 0.5 |i CMOS 10 bit 8 MHz M> 4lh Older SD A/D 35 mW 10 mW GSM 1990 +5V 1,2/2 (i CMOS 8 bit 8 bil 270 kHz Ix Sue appro A/D Binai)1 weig D/A 10 mW 1993 +5V 0.7 n CMOS 8 bil 8 bil 270 kHz IX Succss appr A/D Binsry-weig D/A 10 mW 1995 HV 0.5 n CMOS 13 bit 8 bil 6,5 MHz 24.x 4th order SD A/D Binary-weig D/A 14 mW 3mW ADSL 1993 ► 5V 0.7 M CMOS 12 bil 53 MHz 24x 4lh order SD A/D 850 mW 700 mW 1997 +3V 0.5 it CMOS 12 bil 8.8 MHz 4x pipelined A/D switched-l D/A 120 lilW 30 mW VDSL 1997 1998 +3 V 0,35 (I CMOS 12 bil 40 MHz lx pipeline A/D swilched-I D/A 250 mW 60 mW Analog spesdi signal Anil-alias filter Second order SA A/A 1MHz Decimator + Filter 12 bit / » 1 bit 8 kHz Figure 1. Speech A/D conversion Qgilal spaech second order digital IAÍ/A 1 bit SC- filia low pass smoothing filter Analog signal 1 MHz Sp9«ctl Figure 2. Second generation SD D/A for speech For speech D/A conversion SD modulator is applied as successfully as for A/D, without a dependancy on clock jitter. Also in ISDN U-interfaces, SD A/D & D/A conversion are used since 1987 /3/. The 144 kbit/s on the U-interface subscriber line in the 120 kHz 4B3T code or the 80 kHz 2B1Q code were handled by a 16 MHz 1 bit PDM-code. A resistive 3rd order smoothing filter in the transmit path and 1 capacitor C on the resistive echo bridge act as anti-aliasing filter as shown in Fig. 3. Subscriber line ZDOOOOC 2R S2R i Digital Decimator Filter 16 Nib scccrxlcrder IAA/A Tc Figure 3. U-interface analog front-end anno 1987 Another strong application for SD A/D is GSM. The use of SD A/D for digital l/Q quadrature mixing is well known /4, 5/, but also in a zero IF receiver the use of SD A/D is very attractive because the high sampling rate offers the necessary bandwidth to pass the adjacent channels and the blocking levels without aliasing them in the band of interest and the decimator filter also performs the channel filtering that was implemented in analog switched capacitor filters in the first generation GSM ASIC's. In the first generation GSM ASIC's the 8 bit A/D was implemented as a successive approximation algorithm. In fact the transmit D/A was reused in the receivers A/D. The transmitter D/A was realized in switched capacitor circuitry using weighted capacitors in a sign-magnitude algorithm. A13 bit SD A/D is now converting the receive l/Q signals from the analog to the digital domain. The extended dynamic range covers for both filtering and offset suppression: 4 bits for wanted signal, 7 bits for the blocking levels and the adjacent channels and 2 bit for the offset. In zero IF a wide dynamic range A/D solves all the worries about self mixing and local oscillator leakage. Every bit additional dynamic range in the A/D allows for a factor of 2 less gain in the analog receiver circuitry, so allowing for a factor of 4 more offset in the zero IF down convertor. The use of 13 bit SD A/D GSM receivers reduces the amount of analog circuitry in favour of digital filtering. And this is exactly the trend in telecom: less analog, more digital, because analog circuitry is difficult in design at low supply voltage and often requires very expensive analog technologies. This is the area where switched current circuits have big potential. Switched current second order sigma delta modulators have proven the required performance for speech analog telephone /6/. The switched current technology is available, pioneers have used it and published sufficiently to prove the case /7/ and moreover it reduces the chip costs. 19 Informacije MIDEM 28(1998)1, str. 18-21 J. Hauptmann, W. Pribyl, J. Sevenhans, Z.-Y. Chang: A/D and D/AConverters - Basic Building Blocks for Telecom Applications Besides the trend to go to pure digital processes, the supply voltage is also rapidly decreased to minimize power consumption. And precisely the low supply voltage is the reason for needing higher resolution and higher speed A/D and D/A due to less analog filtering and therefore higher unwanted out of band signals. Conclusion: analog design mustfocus on A/D and D/A, because the necessary area and power consumption in digital filtering benefits more from the deep submicron technology progress. One of major tasks for analog designers in the coming years is to make A/D and D/A converters for high resolution, high speed, low power and low cost. 3. A/D AND D/A CONVERTERS FOR ADSL Asymmetrical Digital Subscriber Loop (ADSL) technology makes it possible to transmit high bit rate video signals over the conventional telephone twisted pair copper network. Discrete Multi Tone (DMT) modulation is used to map the high speed digital data (e.g. 6 Mb/s) onto a large number of Quadrature Amplitude modulated (QAM) carriers. The upper frequency band of the DMT analog signal over the twisted pair is 1.1 Mhz. To cope with the copper wire's high attenuation and the large echo return, 12 bit A/D and D/A converters at 2.2 MHz Nyquist rate are required. In the first and second generation ADSL systems, SD modulators are used to achieve the required resolution in a 0.7 ]im CMOS technology. To limit the maximal sampling frequency high order SD modulator architectures are adopted. The ADC is a 4th order SD modulator based on the cascaded approach as shown in Fig. 5. The main disadvantage of the cascaded topology is its high sensitivity to the non-ideal effects which can result in a large noise leakage. Non-ideal effects include integrator gain errors due to capacitor mismatching, finite diplex filter analog fiiters analog fitters 13 btt digital 9 £a A/a filter dsp 13 bil digital ! £a a/a filter dc gain, finite gain-band-width (GBW) and finite slew-rate (SR) of the opamps. These non-ideal effects are analyzed by using time-domain behavioral simulations and FFT analysis. The D/A converter in the transmit path is a 6th order digital SD modulator using the multiple feedback technique as shown in Fig. 6. Feedback coefficients BO, B1 ...B5 determine the pole positions of the modulator, while coefficients AO, A1 and A2 realize three transmission zero's in the noise transfer function (NTF) to maximize the peak SNR. These coefficients are determined by the requirements of pole/zero positions, stop band frequency and stop band attenuation. For an oversam-pling ratio of 32 the stop band frequency of the filter is fixed atfs/32 where fs = 49.15 Mhz is the oversampling frequency. The stop band attenuation is a trade off between the in band noise and the stability of the modulator. As a compromise, a stop band attenuation of 68dB is chosen yielding a 14.5 bit theoretical resolution. The integrator gain factors are determined by internal scaling to maximize the dynamic range so as to avoid any internal overload. Figure 6. Sixth order SD DAC topology ^________ Shift registers Digital error collection Figure 7. Pipelined ADC for 3rd generation ADSL Figure 4. Second generation GSM radio receiver Figure 5. 4th order cascaded SD ITZT3 cj> ..........Cj> Cj> d) - ■ d) 4> n Y x A (j> q>i (j> Figure 8. Switched current DAC for 3rd generation ADSL 20 J. Hauptmann, W. Pribyl, J. Sevenhans, Z.-Y. Chang: A/D and D/AConverters - Basic Building Blocks for Telecom Applications Informacije MIDEM 28(1998)1, str. 18-21 The PDM output is converted to an analog signal in a first order SC-filter. The total power consumption of the complete SDD/A converter is 140 mA or 0.7 W. At the moment, the third generation ADSL system is in the development phase. To allow more modem lines in a single rack, power consumption becomes a big issue. For the analog front-end the total power consumption must be reduced from the present 1.9 W to 0.4...0.5 W. This drastic power reduction is realized by using on the one hand a 3 V 0.5 ¡im CMOS process and low power A/D and D/A converters on the other hand. For the A/D conversion, a CMOS pipelined A/D architecture is chosen as shown in Fig. 7. The converter consists of six stages and each stage resolves two effective bit with one redundant bit for digital correction. The sampling frequency is 8.8 MHz corresponding with an OSR=4 for the ADSL band. The total power consumption including reference buffers is 35 mA which is a factor of five lower than the 4th order SD ADC. However, in contrast to SD ADC, pipelined ADCs require much tougher capacitor matching and careful device level design. For the D/A conversion, a switched current architecture is adopted as shown in Fig. 8. For the first 8 MSBs a unit current cell matrix approach is used to achieve the best INL(lntegral Non-Linearity), while for the last 5 LSBs the binary weighted current cells are used to reduce silicon area. The static accuracy of a switched current DAC is determined by the matching of the current sources in the unit cell matrix. The minimal required current source matching can be derived by the requirement thatthe INL <1/2LSB as given by: o2(AI/I)<1/2n+z For N=12 bit, the required current source matching is s(DI/l) = 0.78% which can easily be realized in modern CMOS technologies. Expression (2) takes only random error effects into account and assumes that the systematic errors are eliminated by good layout techniques and switching sequence. The dynamic performance is determined by the current switch design and switching sequence. For the last 5 LSBs a direct switching method is used, while for the first 8 MSBs digital predecoding is employed and dedicated switching sequence is used to eliminate systematic and gradient errors. The full scale output current is 10 mA which is more than an order of magnitude lower than the SD approach. 4. CONCLUSIONS In this paper an overview is given of various A/D and D/A converter architectures used in Alcatel and Siemens telecom systems over the past 15 years. Telecom systems are in a continuous evolution over different applications from analog telephony, ISDN, GSM to the most recent ADSL and VDSL. A range of different A/D and D/A converters is in use to cover all those applications. For today's ADSL and VDSL applications pipelined A/D and switched current D/A converters are proposed as the most promising conversion architectures to achieve high speed and high resolution with minimum power consumption. Acknowledgement The authors express many thanks to all the colleagues and former colleagues that worked on the Alcatel and Siemens A/D and D/A converters for telecom applications since the early eighties for their contributions to the circuits and the know how. References /1/Z.Y. Chang et al, "A CMOS analog Front End circuit for an FDM-based ADSL system" proc. ISSCC'96 /2/ J. Sevenhans et al, "A Versatile digital signal processor in 1.2^ cmos with on chip d/a and a/d conversion serving 4 speech channels in a new generation subscriber line circuit" proceedings ESSCIRC'90 /3/ D. Sallaerts et al, "A 160 kblt/s Transceiver for Digital subscriber loop" proc. ISSCC'86 /4/Thomas Hack , "IQ Sampling Yields Flexible Demodulators" RF Design, April 1991 pp 40-50 /5/ Hans. J. Dressier, "Interpolatlve bandpass A/D conversion" Elsevier, Signal Processing 22 1991 /6/ J. Vanneuville et al, "A transistor only sigma-delta a/d converter for a cmos speech codec" proc. ESSCIRC'90 /7/ Y.L. Cheung et al, "A Sampled-Data Switched-Current Analog 16-Tap FIR Filter with Digitally Pro-grammable Coefficients in O.fyim CMOS" proc. ISSCC'96 /8/ M. Tiefenbacher et al, "A four Channel CMOS Codec Filter Circuit SiCoFI®-4", IEEE Journal of Solid States Circuits (Special Issue on ESSCIRC'93) /9/ R. Czetina et al, "SLICOFI®, a new approach to an integrated One Chip Subscriber Line Interface and Codec Filter", IEEE Journal of Solid States Circuits (ESSCIRC'94) Joerg Hauptmann and Wolfgang Pribyl Siemens Entwicklungszentrum für Mikroelektronik Ges.m.b.H, Siemensstrasse 2, Villach, A 9500 Jan Sevenhans and Zhong-Yuan Chang Alcatel Bell, Francis Wellesplein 1, B-2018 Antwerp, Belgium Reprinted from TELEMATIK, Zeitschrift des Teiematik-Ingenieur-Verbandes TIV, Graz, 1/98, ISSN 1028-5068 Prispelo (Arrived): 23.4.1998 Sprejeto (Accepted): 29.4.1998 21 Informacije MIDEM 28(1998)1, Ljubljana UDK621,3:(53 + 54+621 +66), ISSN0352-9045 THE DEVELOPMENT OF HARDWARE AND SOFTWARE COMPONENTS Rudi Čop Univerza v Ljubljani, Fakulteta za pomorstvo in promet, Portorož, Slovenija Keywords: common electronic components, COTS systems, Commercial Off-The-Shelf systems, hardware, software, cost reduction, rapid deployment, rapid development, easy upgrade, high reliability, military industry, civil industry, sonars, navy sonar systems Abstract: At the beginning of this decade, after the great political changes in Europe and all over the world, state administrations began to reduce expenses for departments of defense. Military planners began looking for solutions that provide low-cost, rapid deployment, easy upgrade and high reliability. They instituted the Commercial Off The Shelf (COTS) system which meant the end of military standards (MIL) and location of the responsibility for quality to the suppliers. The results of this decision are: quick improvement of quality in the electronic industry, rapid development of standard assembled electronic parts and the beginning of the development of hybrid operations systems. Razvoj strojne in programske opreme Ključne besede: deli sestavni elektronski skupni, COTS sistemi delov sestavnih standardnih, HW hardware oprema strojna, SW software oprema programska, zmanjšanje stroškov, razmestitev hitra, razvoj hiter, nadgraditev enostavna, zanesljivost velika, industrija vojaška, industrija civilna, sonarji, sistemi sonarjev mornariških Povzetek: Po velikih svetovnih političnih spremembah v začetku tega desetletja, so državne administracije vodilnih vojaških sil na svetu zmanjšale proračun za vojsko. Da bi ohranili vodilni položaj svojih armad, so vojaški načrtovalci poizkusili najti take tehnične rešitve, ki bi bile poceni, ki bi omogočala nagel razvoj, enostavno nadgradnjo in bi bile zelo zanesljive. Izbraii so standardne sestavne dele strojne in programske opreme, ki jih razvija in izdeluje civilna industrija. Ta odločitev pomeni konec posebnega razvoja za vojaško po njihovih standardih in prenos odgovornosti za kvaliteto na dobavitelje opreme. Pospešil se je razvoj civilne elektronske industrije in razvoj standardne strojne in programske opreme. The Sonar Technology Revolution for Industrial Use In the past decade there was a remarkable increase in the number, type and technical complexity of sonar systems available to industry and the general public. This was the beginning of a sonar system revolution that is largely due to advances in micro-electronics. The sophistication of sonar hardware and the software that is used to process, interpret and display data has increased greatly (figure 1). At first glance the most remarked improvement brought by the sonar revolution is cost reduction. But the most important advance was development of the personal computer and its incorpo- Figure 1: The sonar image of through the second world war foundered submarine in 140 m deep sea. The image was made by sonar System 2000, Klein Associates, Inc., USA ration into side scan sonar systems /1/. It was done when the standard computer had become reliable enough. The reason for such a late incorporation of the standard computer in sonar systems is due to the great conservatism of the offshore industry which is understandable due to the cost of incorrect equipment. Incorrect equipment on the ocean costs time, money and life. Incorporating the standard PC into the sonar system has great advantages. PC serves as a data collection device, as the sole use interface, control system and image processing hardware. The PC can replace analog paper recording devices and store information in digital mode to the hard drive. If the Global Positioning System (GPS) device interfaces to the computer and gives the true position to the sonar image, this allows the sonar operator to review data together with precise position information. Digital sonar data can be then entered into a Geographic Information System (GIS). In this way sonar becomes an important tool for cultural and environment resource management, allowing for the correlation of diverse data sets and the predictive modeling of yet unexplored areas of the sea floor /2/. The new generation of side scan sonar systems must also allow for modular architecture. The same top side system used to collect data can be de-coupled from the towed transducer and connected to modular transducers for other tow bodies, updating older systems. Without computer control a good image of high resolution could not be obtained from such an adapted system. Sonar becomes the most important tool for underwater remote control if the sonar system is inte- 22 R. Cop: The Development of Hardware and Software Components_ Informacije MIDEM 28(1998)1, str. 22-24 grated with an acoustic navigation system, in such a manner sonar for industrial uses overcomes the sonar which is used in navies. Rapid Deployment Of Sonar Systems For Navies In the environment of declining defense budgets after the year 1986 and rapid evolving emission requirements, military planers are looking for solutions that provide low-cost, rapid development, ease of upgrade and high reliability. The recent Commercial Off The Shelf (COTS) initiative of the leading navies after the year 1994, is aimed at combating the impact of declining budgets and assuring their prime positions in the world /3/. The thinking behind this shift was driven by the fact that commercial systems were more reliable and cheaper because of their volume production /figure 2/. Custom engineering needs a long time for development. it suffers from a lack of software and the necessity for complete re-engineering of systems during system upgrades. The military's transition to open system architecture and Dominant software cost Dominant hardware cost Transition to a new architecture System life cycie --^ Development Exploitation Figure 2: The maximum of the support cost of system is lower if independent software is used for its development For all sonar and radar applications and the greater part of communications there are three stages in the processing system. The first stage is responsible for telemetry and digital conversion. The second stage consists of programmable signal processors. The third stage consists of display and I/O processors /4/. The different processors are used to tune the hardware to the specific processing jobs required. For these processors local memory is often used because of the high cost of access to the global memory. In last decade almost all the above applications have used COTS hardware and software. Modular and open systems are the rule not the exception /5/. Host workstations all run Unix. The connection from the host to the client is made over a VMA bus or ethernet using TCP/IP protocols /6/. C, C+ + or Ada are being used to program these systems. Ada is used only in extreme cases, but the graphical user interface development tools are often used. We expect that the personal computers running Windows NT will be the right workstations in the near future. The operating system software needs to be multiprocessor. in the case of heterogeneous processors we need different compilers for each type of processor and then a tool for cobble them together. This is the more complex approach with great integration problems. For this reason COTS software engineers use more and more hybrid operating systems based upon the open standards. This minimizes risk and will offer maximum portability of future systems. To make COTS tools and libraries effective their testing and evaluating is required. By using such software the development effort can concentrate on unique system requirements and functionality without having to take time to build the support for it. The products from development application software must be isolated from the tools as much as possible. The application access to functionality provided by tools should be made by wrapper. The wrapper minimizes the time for redesigning and resetting. reliance on the COTS hardware and software systems structure has created a culture shock that will take a number of years to overcome and has consequences in the long term. It has become evident that service for future war fighter in the 21st century will have support from civilian industry. COTS promises lower cost hardware, its faster development and improved reliability all through standard hardware products. COTS hardware and software can be easily upgraded in whole or in the part. They are cheaper and more reliable. This is the key to the success of most integrators in the era of shrinking budgets and cost effectiveness. The reduction in cost and time to system deployment is so dramatic that it is almost unbelievable. Technology Figure 3: The dimensions of evolution of COTS systems 23 Informacije MIDEM 28(1998)1, str. 22-24 R. Cop: The Development of Hardware _and Software Components Conclusion Users have to understand that the COTS approach in reality is long term approach /7/. The COTS market drives continuous system upgrade (figure 3). In spite of dramatic productivity results, sound system engineering and project managers are still critical to the success of COTS projects. - Hardware producers rarely guarantee COTS reliability, which is different from release to release. This reliability is usually determined through money consumption actual use after purchase and through time consuming discussion with other users in time of reconstruction of hardware. - Complex system integration problems become much more difficult due to a lack of understanding of the internal operation of the sub components /8/. For this reason the integrator of complete system does the selection of vender and products monitoring essential to system survivability. System survivability is influenced by system reliability and the system support plan. - The software engineering process would be more consistent as a result. The organization would be able to afford a deeper pool of COTS expertise to evaluate, integrate and support the various software packages. Literature /1/ PHANEUF, B.A. The coming sonar technology revolution. Association of diving contrectors, USA. Underwater Magazine, Fall 1996, /2/ ČOP, R. Sodobni razvoj ultrazvočnih naprav za navigacijo. Zbornik ISEP 97, Ljubljana, 9.-10. oktobra 1997, p.195-199. /3/ BROCKEL, K.H., SGROI, G., CHENEY, B. J. Ideas for the facilitation of specifications standards and acquisition reform from the army's command, control, communications, computer, intelligence, electronic warfare and sensor community. Selected papers and presentations of the symposium on ndi/cots life-cycle support strategies, Naval undersea warfare center division, Keyport, September 10-12, 1996. /4/ ROWE, P. K. Cots radar and sonar systems solutions. Multiprocessor Tooismiths Inc., Canada, March 1996. /5/ STICKELS, E. S. et al. Customer applications. SKY Computrs, Inc.: BBN Systems and Technologies: A Devision of Bolt Beranekand Newman, Inc., Arlington (USA), September 1996. /6/ WASHBURN, D.Vme bus supportability/test software tools. Selected papers and presentations of the symposium on ndi/cots life-cycle support strategies, Naval undersea warfare center division, Keyport, September 10-12, 1996. /7/ HISSAM, S. Practical approaches to integrating off-the-shelf components: Concepts and demonstrations using distributed object technology. 1997 International Conference on Software Engineering, Boston, USA, May 17-23,1997. /8/ RAZAVIAN, A., MATTIS, J. Cots based system support for the fleet. Selected papers and presentations of the symposium on ndi/cots life-cycle support strategies, Naval undersea warfare center division, Keyport, September 10-12, 1996. Dr. Rudi Čop Univerza v Ljubljani, Fakulteta za pomorstvo in promet Pot pomorščakov 4, 6320 Portorož, Slovenija Prispelo (Arrived). 6.1.1998 Sprejeto (Accepted): 17.2.1998 24 UDK621,3:(53 + 54+621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)1, Ljubljana INFLUENCE OF SURFACE TOPOGRAFY ON ZIG-ZAG DEFECTS IN FERROELECTRIC LIQUID CRYSTAL DISPLAYS J. Pirš, R. Petkovšek, S.Pirš, S. Kralj and S. Žumer J. Stefan Institute, Ljubljana, Slovenia Keywords: LC, Liquid Crystals, Liquid Crystal Cells, SmC liquid crystals, Smectic C liquid crystals, chevron structures, zig-zag defects, LANDAU-GINZBURG theory, nematic director field, smectic complex order parameters, threshold conditions, cost estimation, practical results, theoretical results Abstract: The Influence of surface conditions on chevron structures and zig-zag defects in a SmC liquid crystal cell is studied both experimentally and theoretically. In order to gain insight into basic properties of the system the Landau-Ginzburg type theory is used in terms of the nematic director field and the smectic complex order parameter. On a simple model system transitions in the C1 and C2 chevron structures are studied as functions of the surface pretilt angle 9t. The threshold conditions are calculated. The width of the straight element of the domain wall (running parallel to smectic layers) and the costs for its formation are estimated. In the experimental part of the work the effect of the confining substrate with controlled variation of the surface slope on the formation of zig-zag defects is analysed. Observed results are in line with theoretical expectations. Pacs numbers: 61.30.Jf, 64.70.Md Vpliv površinskih pogojev na strukturo zig-zag defektov v feroelektričnih LCD prikazalnikih Ključne besede: LC kristali tekoči, celice kristalov tekočih, SmC kristali tekoči C smektični, chevron strukture, cik-cak hibe, LANDAU-GINZBURG teorija, polje nematično direktorsko, parametri smektični reda kompleksnega, pogoji pragovni, ocena stroškov, rezultati praktični, rezultati teoretični Povzetek: Preučevali smo vpliv površinskih pogojev na strukturo ševronov in zig-zag defektov v SmC tekočem kristalu, omejenem v planparalelni celici. Kvalitativno obnašanje sistema smo ocenili Izhajajoč iz Landau-Ginzburgove proste energije, izražene z nematlčnlm direktorskim poljem in smektičnim kompleksnim ureditvenim parametrom. Na poenostavljenem modelu smo preučevali prehode med ševronskima strukturama C1 in C2 v odvisnosti od nagiba molekul ob površini celice. Izračunali smo kritični pogoj prehoda. Ocenili smo širino In potrebno energijo za tvorbo domenske stene med področjima različne orientacije ševrona. V eksperimentalnem delu smo preučevali vpliv površine s kontrolirano variacijo površinskega nagiba na nastanek zig-zag defektov. Opaženi rezultati se kvalitativno ujemajo s teoretičnimi napovedmi. I. INTRODUCTION Basic ingredients of various electrooptic devices are smectic C (SmC) liquid crystals (LC) confined to plan-parallel cells where smectic layers run along cells. In most cases chiral SmC LCs are used (SmC*) because of their ferroelectric properties. These layered configurations are enabled by planar anchoring /1/ or anchoring with a small pretilt. It often happens that the confining surfaces impose a periodicity qs which in general differs from the intrinsic bulk SmC periodicity /2,3/ q. In most cases the origin of the surface periodicity is a thin single molecular LC layer relatively strongly bounded to the surface (i.e. the mobility of molecules in this layer is strongly restricted in comparison to the bulk). The surface periodicity qs is believed to be fingerprinted and frozen in on entering the smectic phase /2/. In the particular case of q=qs the bookshelf structure (see Fig.1a) is established. While in general q^qs yielding an uniformly distributed strain on the bookshelf structure. If qs > || < < like transition (symbol I describes the wall and >> gives the direction of the kink far from the wall). In < < || >> transition the wall has in almost all cases strong zig-zag appearance according to which it is also named. These most commonly qualitatively different scenaria of the wall organisation for the >>||<< and <<||>> transition indicate that they are considerably different from the energetical point of view. In the "head to head" wall scenario the layers experience strain concentration at the center of the wall which gradually decreases on going away from the center. In the case of <<||>> transition it seems that the strain is large enough to initiate the rearrangement of the surface ordering /2,7/. This process is believed to be extremely slow and is (a) (b) (c) (d) Fig. 1 Schematic presentation of the (a) bookshelf, (b) tilted, (c) chevron, (d) C1, C2 and (e) zig-zag layer structure. In (d) the surface strongly enforces a finite pretilt Qt- The co-or-dinate system used in calculations is shown in (f). realised if the system can not relax the imposed dilation in a different way /4,7/. The surface rearrangements partially relax the strain imposed on the layers enabling narrower domain walls whose direction makes finite angle with respect to the chevron tip orientation /2/. The course of such a wall is zig-zag in appearance and is believed to be controlled by various disturbances in the system (thus the zig-zag pattern is not an inherent property of the LC). The presence of zig-zag defects is in most applications not desired because its pattern is hard to be controlled and reduces the optical properties of cells /8/. In order to avoid them it is essentially to understand reasons for their appearance. They can be among others induced by surface irregularities /2,8/ (i.e. spatial variation of the surface profile, surface anchoring condition...). The aim of this paper is to develop a theoretical explanation of our recent experiments /10/ on the influence of the controlled surface slope or pretilt on the formation of zig-zag defects. The paper is organised in the following way. In Sec. 2 the experimental part of the work is presented. In Sec. 3 the model is presented providing qualitative explanation of the experimental results. Stability of the C1 and C2 structure and the free energy costs to form domain walls is studied. The experimental results are discussed in the Sec. 4 and conclusions are summarised in the last section. II. EXPERIMENTAL SET UP This paper as well as other publications /10,11,12/ present experimental evidence, that the surface topography plays a very important role in the surface stabilised SmC* thin film liquid crystalline layers. Studies of the "chevron defect" density show, that even very small orienting surface defects can cause the instability of the chevron structure as long as the slope of these defects is high enough. Fig. 2a shows an AFM photograph of a relatively flat rubbed nylon (DuPont Elvamide 6) surface deposited on the flat glass surface by dip coating, polymerised at 120°C and slowly cooled to the room temperature (conventional method). Such a surface treatment is often used to enforce homogeneous anchoring of LC molecules with a small pretilt. It is evident that real surfaces used In LC cells are far from being "flat", what is conventionally assumed in theoretical models used to study LC structures. The aim of this study is to exploit the influence of sloped regions introduced by surface irregularities on the appearance of zig-zag defects. In order to do this we first made a flat reference surface using the "heat quenching method" developed /10,12/ by Pirs et al. The gist of this method is (i) adequate doping of the nylon used for the cell coating and (ii) heating the polymer layer approximately 10°C above its glass transition temperature (=160°C) and submitting it to a thermal shock, by fast cooling to the room temperature. This procedure prevents the crystallisation process within the polymer, which is the main reason for surface roughness as seen on the Fig. 2a. Consequently extremely flat amorphous 26 J. Pirš, R. Petkovšek, S. Pirš, S. Kralj, S. Žumer: Influence of Surface Topography on Zig-zag Defects ... Informacije MIDEM 28(1998)1, str. 25-32 nylon surface is formed. This is evidently shown in Figs. 2 where the nylon treated surface formed by (a) conventional and (b) "heat quenching" method are compared. The resulting local cell geometry is schematically shown in Fig. 4a. The height ahx and the length ahz of each sloped area is 0.1 |nm and 0.2 |im, respectively. The corresponding slope is 9s=Arctan(ahx /ahZ)=27°. The cell width L is 1.5 |am and the separation Hs between the sloped areas is 50 (im, thus of finite width with respect to L. The cell surface was nylon treated and rubbed, enforcing a finite pretilt 9t=3°. 8 . if 1.0 and SmC for C±<0. The tilt ec of molecules from the layer normal in the bulk SmC phase is 9C = Arc tan(-Ci/(2Dq02)) and the layer periodicity is q = q0/cos 0c. Some qualitative predictions concerning the structure of the system can be inferred from the values of characteristic lengths entering the model. These are in addition to the cell thickness L the smectic penetration lengths ^1=A/K/(2i12C1q2), ^L1=A/K/(21i2Cuq2) Fig.5 A photograph obtained via optical polarisation microscope with crossed polarisers showing zig-zag defects that originate mostly at the two sloped regions. III. FREE ENERGY To calculate the chevron structure we use Landau-Gin-zburg type free energy /1,5/. The LC structure is described in terms of the uniaxial nematic director field n and the smectic complex order parameter H/=riel. The nematic orientational order parameter and smectic translational order parameter r\ are assumed to be spatially homogeneous while the phase factor <5 describes the position of smectic layers. The corresponding relevant part of free energy is expressed as /5/ F = fev-n)2 + — fnxVxii)2 + —(fi.Vx n)2 + and the length Xc =JD / (2C t) characterising the thickness of the chevron tip /1,5/. The relative strength of the nematic and smectic contribution in Eq. (1) is of order (^li/L)2. In the SmC phase ^n is typically few molecular lengths. Consequently in supramlcron cells the inequality A,n/L<<1 suggests that the LC structure is mainly governed by smectic elasticity. IV. MODEL CELL STRUCTURE The geometry of the problem is depicted in Fig. 1f. The layers are running in the z direction. The cell plates are positioned at x=-L/2 and x=L/2 where L stands for the cell thickness. In the model we assume that LC molecules are restricted to the (x,z) plane. This approximation grossly simplifies the mathematics of the problem and conserves the qualitative features of the structure. In the model the surface rigidly imposes the periodicity qs (strong positional anchoring limit) and the surface tilt 6t of surface LC molecules from the z-direction (strong orientational anchoring limit). The variational parameters n and are parametrized as n = (sin9,0,cos9) and =qs(z-u), where u describes departures from the bookshelf layer structure. First we study the existence and stability of C1 and C2 structures with respect to the relative value of 9t and 0C. For this purpose the variational parameters 9 and u are allowed to vary only in the x-directlon. The threshold behaviour of the transformations between C1 and C2 structures is analysed. 28 J. Pirš, R. Petkovšek, S. Pirš, S. Kralj, S. Žumer: Influence of Surface Topography on Zig-zag Defects ... Informacije MIDEM 28(1998)1, str. 25-32 We further estimate typical free energies to form a wall parallel to smectic layers that corresponds to the head to head collision of two chevrons. In this case the variational parameters are allowed to vary also in the z-direction. Since we are mainly interested in the layer structure we simplify calculations by performing them in a SmA phase. The smectic layer structure is domi-nantly determined by smectic elastic constants. Thus the effect of the "nematic" component (i.e. the so called c director describing the projection of the director field in the smectic layer plane), which distinguishes between the SmA and SmC phase, is In this case of secondary importance. We enforce a chevron profile by imposing at the surface periodicity different from the one in the bulk. 3 0/0C 2.5 2 1.5 1 0.5 °0 0.2 0.4 0.6 0.8 1 2x/L Cb) 1.5 U/U0 1 0.5 0 -0.5 -1 -1.5 0 0.2 0.4 0.6 0.8 1 2x/L Fig. 6 Q(x) (a) and u(x) (b) dependence of the chevron structure for different values of%. In calculations we use /.An =100, Lfkx=10, qLXc/X±=100, A_cAi= 1. uo=u(x=0) for%=0. Based on the results deduced from these model structures we qualitatively explain phenomena observed in our experiments. In the model we simulate the variation in the slope of the bounding surface in the experimental cell (Fig.4a) by a variation of the pretilt angle 9t assuming a perfectly flat surface (see Fig.4b). We anticipate that the spatial variation in the pretilt angle or surface topography have in most cases similar qualitative consequences on the chevron structure. V. CHEVRON STRUCTURE V.1 Influence of the pretilt 6t on the chevron structure We first study the influence of a homogeneous surface pretilt 9t on the chevron structure. Geometrical arguments /8/ suggest that significant parameter relevant for the chevron structure is the ratio x=0t/0c. The influence of x on the chevron profile is depicted in Figs. 6a,b. The effect is demonstrated in relatively thin cells (L=0.1 (.im) where L and li(i=±,||) are less apart and variations of both parameters are spreaded over a substantial part of the cell. If 9t =0 then 0(x)=O everywhere and elastic distortions of smectic layers are constrained to the chevron tip. We refer to this structure as the "reference" structure. Both chevron orientations of the reference structure are equivalent. A finite pretilt introduces spatial variations of 9 across the cell. Results indicate that there exist critical (threshold) values of % (denoted by xci and %C2 corresponding to the C1 and C2 structure, respectively) separating qualitatively different regimes. For %>0 and %XC1,XC2) both C1 and C2 structures convert into an identical structure, which we denote by C1*, with the chevron tilt determined by the surface pretilt. Its main difference from the C1 structure is in a broader chevron tip and in a nematic director field which substantially departs from the z-direction across the whole cell. Despite this the smectic free energy density distribution is qualitatively similar below and above the transition although the jump in the total free energy can be in general substantial (see Fig. 7). The nematic splay distortion is shifted to the chevron tip and consequently its width is increased. Note that this effect on the chevron tip width is less evident in thicker cells. Thus for %>XC2 only C1 * or C1 structures are possible in agreement with experimental observations /8/. The threshold value xci and xc2 for both configurational transitions depend on elastic properties of the LC (thus also on 9C) and the cell thickness. For L=0.1 ¡im and conventional elastic constants we obtain critical value XC2=1-7±0.4 while xci is typically 5% larger. For a choice of parameters given in the caption of Fig. 6 one (a) 29 Informacije MIDEM 28(1998)1, str. 25-32 J. Pirš, R. Petkovšek, S. Pirš, S. Kralj, S. Žumer: Influence of Surface Topography on Zig-zag Defects ... finds xc2=1.95±0.05 and xci=2.05±0.05. These values are close to those obtained from simple geometrical considerations /8/. The variation of the free energy (see Eq. (1)) of both structures with % is shown in Fig. 7. For any finite value of % the degeneracy between C1 and C2 structure is broken. Below critical value of % the C2 structure is stable in agreement with experimental results /8/. -0.2 -0.3 -0.5 -0.6 -0.7 -0.8 -0.9 0 0.5 1 1.5 2 2.5 X Fig. 7 Free energy of the chevron structures as function of %. Fo-F(x=0). Parameters are the same as in Fig. 6 of the >>[(<< and <<||> > walls are almost the same. Nevertheless the free energy F<> of the <<||>> wall, calculated from Eq. (1), is much larger than F>< of the >>||<< one (F<>/F> <=2.2 for parameters given in Fig. 8). Thus from the energy point of view the walls are quite different suggesting different scenaria of the wall organisation. In most cases zig-zag wall appears with the direction of the wall close to that of the layer normal. This is achieved by local layer slip at the surface in such a way that strain imposed on smectic layers in the cell is relaxed to a great extent. Consequently the wall width ^d is substantially reduced /2/. V.2 The domain wall We next focus our interest to the domain wall of a head to head chevron collision. In an uniform cell this is not a stable structure but it can be stabilised by a particular variation of the surface induced pretilt. Within our model this wall can be realised only via an intermediate bookshelf-like region. Our main interest concerns the width of the wall and the difference in the energy between >>||<< and <<(|>> walls. To describe a wall we must allow the tilt angle and smectic displacement to vary in the x and z dimension. Calculations in Section V.1 indicate that the layer slope is dominated by the strain imposed on smectic layers. Since in this case we are concerned mainly with phenomena related to the layer evolution we perform our study in the SmA phase (i.e. Ci>0 in Eq. (1)) and set 0t=O. The strain imposed to smectic layers is induced by setting qs/qo=1-01. In calculations we allow the chevron tip reorientation via a quasibookshelf structure as reported in ref. /9/. Only a half of the wall is calculated since symmetric conditions are assumed. At the center of wall the bookshelf structure is set and the bulk chevron structure far (with respect to L) from the wall. The evolution of the displacement field u(z) = averaged over the cell thickness from the wall center is depicted in Fig. 8. The layers recover the conventional chevron structure (i.e. the structure not influenced by the wall) over a distance comparable to L. The widths Fig. 8 u(z) = as a function of z for <<||> > and >>||<< head on head chevron tip transitions. 0t=0, qs/qo=1.01 and the other parameters are the same as in Fig. 6. The 8(z) = dependence has similar dependence. For the parameters chosen the harmonic approximation 13,41 works weil, thus du(x,z)/dx~tan(Q(x,z)). u0=u(z>>L), the domain wall is atz=0. VI. DISCUSSION OF EXPERIMENTAL DATA In order to qualitatively explain the photographic picture shown in Fig. 5 we use the results of the model studied in the previous section. The variation of the slope of the surface in the z-direction in the experiment is modelled by spatially dependent pretilt angle 8t. As shown in Fig. 4 we divide the sample into regions (i),(ii),(iii),(iv) and (v). The pretilt 0t=0p=3° in regions (i),(iii) and (v) is achieved by a nylon surface treatment. The left slope of the region (ii) is simulated by the surface tilt 0i=0s+0p~3O° and the right one of the region (iv) by 0r=-0s+0p~-23°. According to our findings the stability and existence of C1 and C2 structures strongly depend on the ratio %=8c/6t. The experiment was performed at the temperature corresponding to the bulk tilt angle 0C=22°, Thus in regions (i), (iii) and (iv) the ratio x=0.14 is well bellow the 30 J. Pirš, R. Petkovšek, S. Pirš, S. Kralj, S. Žumer: Influence of Surface Topography on Zig-zag Defects ... Informacije MIDEM 28(1998)1, str. 25-32 critical value %C2 =XC1=1,7±0.4 estimated for our model structures. In these regions the C2 structure has slightly lower free energy than C1. The situation is similar in the region (iv) where %=1.1 but in this case the energy difference between C1 and C2 is larger. In the region (ii) the ratio x=1.4 is within the regime where according to our estimates the transformation to the C1 * structure Is expected. To get an impression where zig-zag defects tend to form we neglect coupling between different regions. If the critical condition is not fulfilled in the region (ii), the C2 structure is locally enforced in the whole sample. But because of different tilt direction in region (iv) the sequence of tilt orientations according to the model system shown in Fig. 4b is >i>2>3<4>5, where, e.g., >3 describes the chevron kink to the right at the region (iii). If the critical condition is fulfilled in (iv) then the sequence is >1 <2>3<4>5- Thus in the decoupling approximation in both cases zig-zag defects tends to be formed. In the following we show that if coupling is taken into account both cases are expected to give similar qualitative appearance. We first note that broad straight walls characteristic for the head to head chevron collision are not expected for the following reasons. The width of the sloped region is far less than L what is required for the realisation of the head to head chevron collision. The corresponding correlation length ^d~L=1.5 |im is much larger than the width AHZ =0.2 ¡im of the sloped regions. Thus at slopes, where the flip of the chevron tip is expected, only a zig-zag like wall is plausible which requires less space and the elastic free energy costs for its realisation. The experimental results confirm this expectations. Only walls with strong zig-zag appearance are observed as it is evident from Fig. 5. We then assume that in the region (ii) the critical conditions are fulfilled and consequently predict the spatial evolution of the chevron tip orientation structure from the left to the right in Fig. 4. In the semiinfinite region (i) the C2 chevron profile with the kink to the right is realised. In (ii) the C1 * structure is enforced with the kink to the left leading to the zig-zag formation. Let us suppose that the first step triggers the zig-zag defect and try to figure out the chevron tip orientation in the region (iii) between the two sloped stripes. There free energies of C1 and C2 are comparable because of a low pretiit. Both slopes enforce the kink to the left (first via C1 * and second via the C2 structure which is at the second slope much more favourable with respect to C1 because of a relative large value of 6t). Consequently the slightly metastable C1 structure with the kink to the left is most probable in between. Thus in the region (iii) only rarely additional zig-zag defects are expected because the driving force for it is small due to similar free energies of C2 and C1 structures. But the semiinfinite region (v) enforces the C2 structure with the right chevron kink orientation. Thus if the zig-zag defect was formed at the first step then the another zig-zag defect is expected at the second slope in accordance with experimental observation. Note that the zig-zag defects appear at slopes because (i) they enforce the flip of the chevron tip and (ii) the AFM photographs reveal that at the top the slopes are relatively rough serving as seeds for the zig-zag defects. Recent experiments /2,8/ reveal that the zig-zag defects are most often pined to the surface irregularities. The experiment reveals that at the first slope the zig-zag lines are pushed more toward the straight region (i) while at the second slope the defect lines extend also over the sloped region. This is understandable because at the first slope only the C1 * structure is expected while at the second both C1 and C2 structures are allowed. Consequently at the first slope the zig-zag defect, triggered by the slope and seeds at its edge, is pushed towards the region (i). At the second slope the flip of the chevron tip realised via the C1 to C2 transformation is also allowed at the slope. Nevertheless it is advantageous for zig-zag defects to form at the straight region (iv) where the free energy difference between the structures with the opposite tip orientation is relatively small. In the case that also 61 is below the critical value C2 tends to be established in all regions. But due to the sign variation in 9t the chevron tip tends to be aligned to the left in the region (iv) and to the right in all other regions. Thus also in this situation at least 2 zig-zag lines are expected in the z direction if they are initiated. The most probable site to trigger them is the second slope in which the energy difference between the C1 and C2 structure is the largest. The first zig-zag line is thus formed at the border between regions (v) and (iv). On entering the region (iii) another chevron tip orientation is enforced. But most probable it persists till the region (ii), where this tendency is larger because of larger effective tilt angle and the surface irregularities at the top of a slope that trigger the zig-zag formation. Thus in both cases (xi >xc2 or xi > and >>||< < walls is comparable and roughly given by the cell width. The free energy of the former wall is considerably higher what forces the LC to find another realisation of the chevron tip reorientation. Most of the prediction steaming from our rather simple model are in accordance with recent experimental observations. Based on our estimates we qualitatively explained the observed zig-zag patterns. In the explanation we assumed that surface pretilt can to a good approximation imitate the influence of a sloped region. In the theoretical part of this work we made several simplifying assumptions. Agreement between theoretical predictions and experimental observations supports the belief thatthe model exhibits at least qualitative most of the phenomena of interest. The study in which we relax most of the simplifying assumptions in order to get better quantitative estimates is currently under way. Acknowledgements We gratefully acknowledge ass. prof. I. Musevic for making the AFM images of the polymer surfaces. The research was supported by Copernicus grant #CP940168 as well as Slovenian Ministry of Science and Technology (Grant No.J1-7067, J2-7609-0589-96) and TMR Research Network Proposal ERB4061PL970397. J. Pirš, R. Petkovšek, S. Pirš, S. Kralj, S. Žumer: Influence of Surface Topography on Zig-zag Defects ... LITERATURE /1/ P.G. de Gennes and J. Prost, The Physics of Liquid Crystals (Oxford University Press, Oxford, 1993). /2/ N.A. Clark, T.P. Rieker, and J.E. Maclennan, Ferroelectrics 85, 79 (1988). /3/ L. Limat and J. Prost, Liq.Cryst. 13, 101 (1993). /4/ S. Kralj and T.J. Sluckin, Phys.Rev.E. 50, 2940 (1994). /5/ N. Vaupotič, S. Kralj, M. Čopič, and T.J. Sluckin, Phys. Rev. E. 54, 3783 (1996). /6/ M. Cagnon and G. Duran, Phys.Rev.Lett. 70, 2742 (1993). /7/ Y. Ouchi, Y. Takanishi, H. Takezoe, and A. Fukuda, Jpn.J.Appl.Phys. 28, 2547 (1989). /8/ J. Kanbe, H. Inoue, A. Mizutome, Y. Hanyou, K. Katagiri, and S. Yoshihara, Ferroeiectrics 114, 3 (1991). /9/A. lida, T. Noma, and H. Miyata, Jpn. J. Appl. Phys. 35, 160 (1996). /10/ J. Pirš et al., to be published. /11/ J. Watson, P. Bos, and J. Pirš, Phys.Rev.E 56, R3769 (1997). /12/J. Pirš, S. Kralj, S. Pirš, B. Marin, P. Watson, C. Hoke, and P. Bos, 16th International liquid crystal conference, Kent Srate University, Ohio (1996), Abstract book, P-170. J. Pirš, R. Petkovšek, S.Pirš, S. Kralj and S. Žumer J. Stefan Institute, Jamova 39, 1000 Ljubljana, Slovenia email: janez.pirs@ijs.si Prispelo (Arrived): 22.4.1998 Sprejeto (Accepted): 29.4.1998 32 UDK621,3:(53 + 54+621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)1, Ljubljana PTCR EFFECT IN COMPOSITE CERAMICS D. Lisjak, M. Drofenik Jožef Stefan Institute, Ljubljana, Slovenia Keywords: composite ceramics, PTCR, Positive Temperature Coefficients of electrical Resistivity, PTCR effects, electrical resistivity, thermal mismatch, high specific electrical resistivity, low specific electrical resistivity, linear thermal expansion coefficients, electrical properties, thermal properties Abstract: An anomalous PTCR effect (positive temperature coefficient of electrical resistivity) was observed in composite ceramics in the ZnO-MO (M = Ni, Mg), Zn0-Ln203 (Ln = Nd, Sm) systems. The composite ceramics exhibiting the PTCR effect are composed of a low resistivity phase and a high resistivity phase with different linear thermal expansion coefficients. The origin of the PTCR effect could be attributed to a large difference between electrical and thermal properties of the constituent phases. PTCR efekt v kompozitni keramiki Ključne besede: keramika kompozltna, PTCR koeficienti temperaturni pozitivni upornosti električne, PTCR efekti, upornost električna, raztezkl termični linearni, upornost električna specifična mala, upornost električna specifična velika, koeficienti razteznosti termični linearni, lastnosti električne, lastnosti termične Povzetek: V kompozitni keramiki na osnovi sistemov ZnO-MO (M = Ni, Mg) in Zn0-Lnž03 (Ln = Nd, Sm) smo proučevali anomalijo električne upornosti - PTCR efekt (pozitivni temperaturni koeficient električne upornosti). Kompozltna keramika je bila sestavljena iz faze z majhno specifično upornostjo In faze z veliko specifično upornostjo In z večjim linearnim termičnim koeficientom razteznosti. Izvor PTCR efekta kompozlta smo pripisali relativno veliki razliki med električnimi in termičnimi lastnostimi obeh osnovnih faz, ki sestavljata kompozit. Pri sobni temperaturi tvori faza z manjšo specifično upornostjo v kompozltu prevodni skelet, ki se pri višjih temperaturah prekine zaradi večjega raztezka faze z večjo specifično upornostjo. Omenjen fenomen tj. relativno hitro povišanje električne upornosti s porastom temperature poiprevodnega kompozlta označujemo kot PTCR efekt. 1. Introduction An anomalous positive temperature coefficient of electrical resistivity (PTCR effect) was first observed in donor-doped BaTi03 /1, 2/. Other known materials exhibiting a PTCR effect are polymer composites /3/, ceramic composites /4, 5/, and compounds based on V2O3 /2, 6/. Some of these materials are employed as PTCR thermistors for temperature sensors and regulators, overcurrent protection, fluid-flow sensors, TV degaussers etc. Polymer composites exhibiting a PTCR effect are composed of a conductive phase, in the form of a metal /7, 8/ or other highly conductive powder /9, 10/, and an insulating polymer phase. The conductive phase forms a conductive network in a conductive composite at room temperature. At elevated temperatures the polymer expands abruptly at the temperature near to its melting point and disconnects the conductive network which leads to an increase of resistivity, i.e. the PTCR effect. Similar behaviour is observed also for SiC-Si02 /4/ and graphite-Si02 /5/ ceramic composites where the volume expansion of the insulating phase is attributed to the Si02 phase transition at around 260-270°C. Kirkpatrick et al. /11/ reported about a PTCR effect in Zn0-Ni0-Ti02 three phase ceramics, composed of ZnOss and NiOss solid solutions and of a spinel phase (Zn,Ni)2Ti04. Zajc and Drofenik /12/ observed a PTCR effect also in ZnO-NiO two phase ceramics composed from solid solutions of ZnOss and NiOss. According to our previous studies, a large difference in resistivity and in linear thermal expansion of the ZnOss and NiOss constituent phases may cause a PTCR effect in ZnO-NiO two phase ceramics /13, 14/. A ZnOss low resistivity network is present in ZnO-NiO two phase ceramics at room temperature. The ZnOss network could be disconnected at elevated temperatures due to a larger linear thermal expansion coefficient of the high resistivity NiOss phase, which results in a resistivity increase in this ceramics during heating, i.e. a PTCR effect. Accepting the explanation proposed for the ZnOss -NiOss'1system that the main conditions necessary for such oxide systems to exhibit the PTCR effect are: the number of constituent phases must be >2, the constituent phases must be thermodynamically stable in the temperature range where the composite is sintered, at least one of the constituent phase must differ in its linear temperature expansion coefficient and at least one of the constituent phases must exhibit an appreciably higher conductivity in the temperature range considered, one can apply the same concept for other systems which fulfil the demanded conditions. In this manuscript results of our studies on the ZnO-MgO and Zn0-Ln203 (Ln = Nd, Sm) systems are reported. The ZnO-MgO system was selected for these due to its similarity with the studied ZnO-NiO system, regarding electrical and thermal properties /15/, crystal structure and their phase compatibility with ZnO /16-18/. On the other hand the phases Nd203 and Sm203 exhibit a large linear thermal expansion coefficient and a higher resistivity than ZnO /15/, and no intermediate phases were reported to form between ZnO and Nd203 or S1T12O3 /19, 20/ during the heating of binary compositions. So one is justified in expecting a PTCR effect in these investigated systems. 33 Informacije MIDEM 28(1998)1, str. 33-37 D. Lisjak, M. Drofenik: PTCR Effect in Composite Ceramics 2. Experimental procedure Samples were prepared from ZnO (Pharma A), MgO (Merck), Nd203 (Reacton, Johnson Matthey Company) and Sm203 (Alfa Produkte) by a classical ceramic route. In the ZnO-MgO system samples with 10,28,42,45,55, 70, 81, and 96 mol.% of ZnO, in the ZnO-Nd2C>3 system samples with 20, 40, 50, 60, 70, 80 and 90 wt.% of ZnO and in the Zn0-Srri203 system samples with 20, 40, 45, 50, 55, 60, 70, 80 wt.% of ZnO were examined. The ZnO-MgO mixtures were first calcinated at 1100°C for 24h and afterwards milled in Si02 media, while ZnO-Ln203 powder mixtures were sintered after homogeni-sation and the preparation of pellets. Pellets from powder mixtures were pressed at 70 MPa and sintered at 1450°C for 2h, and in the case of the ZnO-MgO system, at 1350°C for 24h. An In-Ga electrode introduced by rubbing both flat surfaces of the pellets was used for the electrical measurements. Resistance versus temperature measurements were obtained by a Hewlett Packard Multimeter 3457A. Some samples exhibited resistance higher than 3x109 Q (the upper limit of the instrument) and therefore their resistance versus temperature behaviour was not measured. Since the density of the Zn0-Ln203 ceramics was quite high (>95% of theoretical), the probability of water adsorption was rather low. In spite of this the resistivity versus temperature dependence of the samples was measured immediately after sintering and samples were kept in an exicator. No degradation of the samples several months old was observed. Microstructure of the ceramics was investigated by a scanning electron microscope Jeol JXA 840A and phase composition was semiquantitatively determined by EDS (energy dispersive spectroscopy) microanalysis. 3. Results and discussion 3.1 ZnO-MgO system Resistivity versus the temperature dependence of the ZnO-MgO composite ceramics with different mol.% of ZnO is shown in Fig. 1. Ceramics with less than 45 mol.% exhibit room temperature resistance beyond the upper limit of the instrument, i.e. higher than 3x109 Q. The PTCR effect was observed In the case of the ceramics with 42-81 mol.% of ZnO. The maximum resistivity was observed at around 450°C. Microstructures of the ZnO-MgO two phase ceramics exhibiting PTCR effect are shown in Fig. 2. Both phases in the composite samples were analysed by EDS microanalysis and were identified as (Mgi-yZny)0 and a (Zni-xMgx)O solid solution. As already mentioned the ZnO-MgO system was studied due to its similarity with the ZnO-NiO system including the mutual solid solubility of phases. Rigamonti /21/ explained much lower solid solubility of MgO and /or NiO in ZnO than that of ZnO in MgO and/or NiO with respect to the crystal structure. ZnO and its solid solutions have a zincite crystal structure with a hexagonal unit cell, while MgO (and also NiO) and their solid solutions have a rocksalt crystal structure with a cubic unit cell. In general, solubility of cubic T(°C) Fig. 1: Resistivity versus temperature behaviour of the ZnO-MgO composite ceramics with different composition. oxides, with a smaller cation than Zn2+, in a ZnO lattice is much smaller than vice versa because a hexagonal cell is less flexible than a cubic one. Thus, it was assumed that the (Zni-xMgx)0 lattice with the zincite structure has a smaller linear thermal expansion coefficient than that of the (Mgi-yZny)0 phase with the rocksalt structure and this is associated with the difference in the crystal structure. A similar pheno-menon was reported for the ZnO-NiO system /14/. Fig. 2a shows the microstructure of the ceramics with 42 mol.% of ZnO. As can be seen the (Mgi-yZny)0 phase predominates in the microstructure, while in the ceramics with 70 mol.% of ZnO, (Fig. 2b), the (Zni-xMgx)0 phase predominates. From Fig. 1 where the R(T) dependence for various composite samples is shown one can see that the resistivity of the samples decreases with the overall percentage of ZnO in samples According to the phase equilibria of the system ZnO-MgO and microstructural observation of the samples considered one can assign the lower resistivity of the samples to the higher content of ZnO and/or consequently to a higher amount of low ohmic phase (Zn(1-x)Mgx)0 in the samples. It is well known that MgO exhibits a much higher resistivity than ZnO (>101^ ficm and 1-20 Qcm at 20°C, respectively /15/). Therefore resistivity should decrease with an increasing amount of ZnO. A slightly higher resistivity of the ceramics containing 96 mol.% of ZnO than that of the ceramics with 81 mol.% of ZnO could be attributed to their density. The density of the ZnO-MgO ceramics is decreasing with the increasing amount of ZnO which can also be seen in Fig. 2 since the ceramic containing 70 mol.% of ZnO is much more porous than the one containing 42 mol.% of ZnO. 34 D. Lisjak, M. Drofenik: PTCR Effect in Composite Ceramics Informacije MIDEM 28(1998)1, str. 33-37 a) b) Fig. 2: SEM micrographs of the polished ZnO-MgO ceramics, sintered at 1450°C for 2h containing: a) 42 mol.% of ZnO and b) 70 mol.% ofZnO. White and dark phases are the (Zn-\-xMgx)0 and (Mgi-yZny)0 solid solutions. Further, on the basis of the R(T) dependence and on the literature data as well as on the experimental results which show that both constituent phases exhibit different linear thermal coefficients of expansion, one might conclude that the origin of the observed PTCR effect could be explained in terms of the disconnection of the low resistivity network composed of (Zn-|-xMgx)0 phase due to the larger linear thermal expansion of the high resistivity phase (Mgi-yZny)0. Thus, on the basis of the present investigation one can conclude that the ZnO-MgO ceramic composite composed of two constituent phases (Zni-xMgx)Oand (Mgi-yZny) exhibits a noticeable PTCR effect for the same reason as that identified in the ZnO-NiO system /13/. 0 100 200 300 400 500 t(°c) a) Fig. 3: Resistivity versus temperature behaviour of the different compositions 3.2. Zn0-Lri203 (Ln = Nd, Sm) system In Fig. 3a the resistivity versus temperature behaviour of the Zn0-Nd203 ceramics with different composition is shown. The resistivity of the Zn0-Nd203 ceramic with 20 wt.% of ZnO was beyond the upper limit of the instrument, therefore its resistivity versus temperature behaviour was not measured. However the composite samples with 40-80 wt.% of ZnO exhibited the PTCR effect with a maximum resistivity at around 400°C. In Fig. 3b the resistivity versus temperature behaviour of the Zn0~Sm203 ceramics with different compositions is shown. The PTCR effect in these ceramics was in general smaller than that found in ceramics prepared from the Zn0-Nd203 system. The highest PTCR effect was found in the composite samples with 55 wt.% of ZnO. In general, a higher PTCR effect was observed in t(°c1 b) ZnO-NdzOs and b) ZnO-Sm2C>3 composite ceramics with 35 Informacije MIDEM 28(1998)1, str. 33-37 D. Lisjak, M. Drofenik: PTCR Effect in Composite Ceramics a) b) Fig 4 ■ SEM micrographs of the polished composite ceramics, sintered at 1350°C for 24h: a) Zn0-Ln?03 containing 50 wt.% of ZnO and b) ZnO-Sm203 containing 55 wt.% of ZnO. Dark phase is ZnO and the white phase is Nd203-rich phase (a) orSmiOz-rich phase (b). samples prepared from the composites with the composition within the Zn0-Nd203 system than those prepared from the ZnO-Sm2C>3 ceramics. One of the reasons for this could be a larger resistivity difference between the constituent phases in the ZnO-Nd2C>3 than that of the Zn0-Sm203 ceramics which is indicated by a more significant influence of the composition on the resistivity of the Zn0-Nd203 ceramics. We believe that a more important reason for this might be a bigger thermal mismatch between the constituent phases in the Zn0-Nd203 than in the ZnO-Sm2C>3 ceramics, since Nd2C>3 has a larger linear thermal expansion coefficient than Sm203 /15/. A microstructure of the ZnO-Ln2C>3 (Ln = Nd, Sm) ceramics exhibiting a PTCR effect is shown in Fig. 4. It can be seen that the ceramics consists of two phases. As was determined by EDS microanalysis, the first phase could be pure ZnO, while in the other phase some solid solubility of ZnO in Ln203 was detected, i.e. 7-13 mol.% of ZnO in Nd203 and 8-16 mol.% of ZnO in the Sm203-rich phase. The work of Godzhieva and coworkers /19, 20/, did not report any solid solubility between ZnO and Ln203 (Ln = Nd, Sm). When we compare the PTCR effect in the NiO-ZnO system^13', which can serve as a model system, with the PTCR effect in these two types of composite, based on the systems Zn0-Ln203 considered here, one can notice that the PTCR effect in these systems is noticeably smaller than one would expect due to the difference in the linear thermal expansion coefficient of pure constituent phases, ZnO and Ln203 respectively. The linear thermal expansion coefficient of Nd203 and S1T12O3 is about three times larger than that of ZnO in the temperature range 20-500°C /15/. For approximately the same thermal mismatch a larger PTCR effect was observed in the ZnO-NiO system /13,14/. We believe that the formation of solid solubility during heat treatment in the com- posites studied here, decrease the difference in linear thermal expansion between both constituent phases due to the formation of the solid solution which is usually more rigid than that of the pure oxide. On the other hand this partial solubility of ZnO in the rare earth oxide used and the formation of Nd203- and Sm203-rich constituent phases, does not significantly effect the resistivity difference, which is still large. As can be seen in Fig. 3, the resistivity increases significantly with a decreasing amount of ZnO indicating that Nd203-and Sm203-rich phases have much higher resistivities than ZnO. Taking into account all of this, it could be concluded that the ZnO-Ln203 (Ln = Nd, Sm) composite ceramics, composed of low resistivity ZnO constituent phase and the high resistivity Ln203 phase exhibits a noticeable PTCR effect due to a difference in the linear thermal expansion coefficient between the constituent phases. 4. Conclusions It was observed that ZnO-MgO and Zn0-Ln203 (Ln = Nd, Sm) composite ceramics exhibits three important features: 1. Two constituent phases, 2. A significant resistivity difference between the constituent phases and 3. A significant thermal mismatch between the constituent phases. Thus, the origin of the PTCR effect in these ceramics could be explained using the proposed explanation in the ZnO-NiO composite ceramics'13). In general, the PTCR effect in all composite ceramics whose constituent phases exhibit a significant resistivity difference and thermal mismatch could be explained as 36 D. Lisjak, M. Drofenik: PTCR Effect in Composite Ceramics Informacije MIDEM 28(1998)1, str. 33-37 follows: The low resistivity network present in the ceramics at room temperature can be disconnected at elevated temperatures due to the larger linear thermal expansion of the high resistivity phase. 5. References /1/ J. Daniels, K. H. Härdtl & R. Wernicke, Philips Technical Review, 38 (No. 3), (1978/79), 73-83 /2/ A. Amin, R. E. Newnham, Key Eng. Mater., 66 & 67, (1992), 339-374 /3/ F. A. Doljack, IEEE Transactions on Components, Hybrids, and Manufacturing Technology, CHMT-4 (No. 4), (1981), 372-378 /4/ D. Wei-Fang, T. Xu, D. Hai-QIng, J. Mater. Sei., 29, (1994), 1097-1100 /5/ T. Ota, I. Yamai, J. Am. Ceram. Soc., 75 (No. 7), (1992), 1772-1776 /6/ H. Kuwamoto, J. M. Honig, J. Appl. Phys. Rev. B, 22 (No. 6), (1980), 2626-2636 /7/ S. K. Bhattcharya, S. Basu, S. K. De, J. Appl. Polymer Sei., 25, (1980), 111-118 /8/ L, Nicodemo, L. Nicolais, G. Romeo, E. Scafora, Polymer Engineering and Science, 18 (No. 4), (1978), 293-299 /9/ K H. Yoon, Y. W. Nam, J. Mater. Sei., 27, (1992), 4051-4055 /10/ T. R. Shrout, D. Maffatt, W. Huebner, J. Mater. Sei., 26, (1991), 145-154 /11/ K. S. Kirkpatrick, U. Balachandran, R. B. Poeppel, "Processing and Properties of Zn-Ni-Ti-0 PTCR Thermistors", Abstracts of the 93rd Am. Ceram. Soc. Annual Meeting, Cincinnati, Ohio (No. 83-E-91), April 29-May 2, 1991 /12/ I. Zajc, M. Drofenik, Mat. Res. Bull., 32 (No. 5), (1997), 547-558 /13/ M. Drofenik, D. Llsjak, I. Zajc, J. Am. Ceram. Soc., 80 (No. 7), (1997), 1471-1478 /14/ D. Lisjak, I. Zajc, M. Drofenik, J. Jamnik, Solid State Ionics, 99 (No. 1-2), (1997), 125-135 /15/ A. J. Moses, "The Practising Scientist's Handbook - A guide for Physical and Terrestrial Scientists and Engineers", Van Nostrand Reinhold Company, New York, USA, (1978), 884-992 /16/ A. Navrotsky, A. Muan, J. Inorg. Nucl. Chem.,33, (1971), 35-47 /17/ Yu. D. Kondrashev, Yu. A. Omelchenko, Zh. Neorg. Khim., 9, (1964), 937-943 /18/ J. F. Sarver, Fred L. Katrack, F. A. Hummel, J. Electrochem. Soc., 106 (No. 11), (1995), 960-963 /19/ O. V. Godzhieva, N. V. Porotnikov, K. I. Petrov, Zh. Neorg. Khim., 32, (1987), 2884-2886 /20/ O. V. Godzhieva, N. V. Porotnikov, N. A. Korobkina, Zh. Neorg. Khim., 32, (1987), 2887-2890 /21/ R. Rigamonti, Gazz. Chim. Ital., 76, (1948), 474-484 Darja Lisjak, Dipl. Ing. Prof. Dr. Miha Drofenik, Dipl. Ing. Institut "Jožef Stefan" Jamova 39, 1000 Ljubljana tel.: +386 61 1773900 fax: +386 61 1263126 Prispelo (Arrived): Sprejeto (Accepted): 7.4.1998 37 Informacije M1DEM 28(1998)1, Ljubljana UDK621,3:(53+54+621 +66), ISSN0352-9045 Marko Pavlin, Darko Belavič, Stojan Šoba, HIPOT, Šentjernej, Slovenija Slavko Amon, Uroš Aijančič Univerza v Ljubljani, Fakulteta za elektrotehniko, Ljubljana, Slovenija Kij učne besede: senzorji tlaka, napajanje s tokom konstantnim, senzorji tlaka piezoupornostni, VVheatstone mostički, kompenzacije temperaturne, napajalniki tokovni, viri toka konstantnega, diode referenčne, reference napetostne precizne, offset napetost, Izničenje offset-a, kompenzacija drift-a, odvisnost temperaturna občutljivosti tlačne, razpon delovanja, kompenzacija temperaturna razpona delovanja Povzetek: Senzorji tlaka so v današnjih dneh nepogrešljiv segment senzorike in predstavljajo velik del svetovnega trga senzorjev. Osnovna zgradba vsakega analognega senzorskega modula vsebuje napajanje senzorja, temperaturno kompenzacijo In izhodno stopnjo z ojačevalnikom. V prvem delu je opisan tokovni napajalni vir s konstantnim izhodnim tokom. Sledi primer Izračuna tolerance In temperaturnih premikov toka. V drugem delu je opisan In s primerom podkrepljen izračun temperaturne kompenzacije tlačnega senzorja. Izračun upošteva, da ima mostična upornost večji temperaturni koeficient upornosti od absolutne vrednosti temperaturnega koeficienta tlačne občutljivosti. Key words: pressure sensors, constant current supply, piezoreslstlve pressure sensors, Wheatstone bridges, temperature compensations, current supplies, constant current sources, reference diodes, precise voltage references, offset voltage, offset nullifying, drift compensation, temperature dependence of pressure sensitivity, operating span, temperature compensation of operating span Abstract: Pressure sensors estimated a significant part of the world sensor market. Basic analog sensor structure consist of at least pressure sensor and basic temperature compensation, which, is described in the present paper. The constant current excitation is described followed by a tolerance analysis. Finally, a calculation for temperature compensation is defined. 1. Uvod Osnovni element obravnavanega senzorjatlakaje silicijev senzorski element (tabletka), ki je običajno sestavljen iz tanke silicijeve membrane pravokotne ali kvadratne oblike. Na membrani so s polprevodniškimi postopki izdelani štirje upori, ki imajo piezoupornostno lastnost in so tako izdelani, da se pri pojavu mehanskih sil, ki nastanejo zaradi delovanja tlaka na membrano dvema poveča upornost, dvema pa zmanjša. Upori so vezani v vvheatstonov mostiček, ki je ponavadi na eni strani razklenjen, tako da ima vezje pet priključnih sponk. Tabletka je pritrjena na keramični substrat, za električno povezavo pa skrbijo zlate žičke, ki so pribon-dirane na obeh straneh. Piezoupornostni efekt ima temperaturno odvisnost, ki se pozna pri temperaturni spremembi tlačne obučutljivosti. Potek odvisnosti je negativen, v nasprotju s temperaturno odvisnostjo same upornosti, ki je pozitivna. Idealna situacija bi bila, če bi bila oba poteka enaka, le nasprotnih predznakov. Pri napajanju senzorskega elementa s konstantnim tokom bi se zaradi spremembe temperature zmanjšala tlačna občutljivost, ki je sicer proporcionalna napajalni napetosti mostiča. Istočasno bi se povečala mostična upornost za enak delež, kar bi pri konstantnem napajalnem toku pomenilo tudi enak delež povečanja napajalne napetosti. Zaradi tega bi se sicer zvišala tlačna občutljivost, vendar se oba vpliva ravno kompenzirata in posredno ostane tlačna občutljivost nespremenjena. V resnici imajo mostički temperaturni koeficient mo-stične upornosti malo večji od absolutne vrednosti temperaturnega koeficienta tlačne občutljivosti. Zaradi tega dodamo vzporedni upor mostičku, ki omogoči pravo temperaturno kompenzacijo. 2. Napajanje senzorja Kot je že v uvodu omenjeno, je senzorski mostič napajan s konstantnim tokovnim virom, ki je prikazan na sliki 1. Izhodni tok določa upor Ffe. Če zanemarimo ofsetno napetost operacijskega ojačevalnika, je izhodni tok enak: Uy (1) Pri tem velja, daje Uz»U'z. Pri napajalnih napetostih od 5V naprej moramo paziti, da operacijski ojačevalnik ne pride v nasičenje. Zaradi tega je priporočljivo uporabiti čim nižjo referenčno napetost Uz. Na uporu R2 je napetost U 'z, k tej napetosti se prišteva še napetost Rvh-Iizh. Vendar skupna napetost ne sme presegati najvišje izhodne napetosti operacijskega Ucc R1 Uz ZD Temperaturna kompenzacija Senzorski etement 5 p,-ai 1 Uz' R2 Slika 1 Shema tokovnega napajalnika za senzor tlaka 38 M. Pavlin, D. Belavič, S. Šoba, S. Amon, U. Aljančič: Senzorji tlaka s tokovnim napajanjem__ Informacije MIDEM 28(1998)1, str. 38-42 ojačevalnika pri danem napajanju: 'iZH ' (^VHMAX + < UiZHMAX(Ucc) Iizh=[UZ(T,UCC) + U0FS(T)][R2(T)] (3) (2) Vhodna upornost senzorja Rvhmax je najvišja vhodna upornost, ki jo kompenziran senzor doseže znotraj delovnega temperaturnega področja. Najvišja izhodna napetost operacijskega ojačevalnika je odvisna od napajalne napetosti in od njegove vrste. Najvišja je, kadar uporabimo t.im. rail-to-rail operacijski ojačevalnik. Izhod takega ojačevalnika gre zelo blizu napajalne napetosti, v področje nekaj deset mV od napajalne napetosti. Tipičen primer: Uporabimo referenčno diodo AD1580 z izhodno napetostjo 1,225 V. Senzor tlaka je SM5102, z ustrezno kompenzacijo. Najvišja vhodna upornost kompenzira-nega senzorja je 3,5 kQ. Napajalni tok naj bo 1 mA. Zanima nas vplivtemperaturnih sprememb na napajalni tok. Operacijski ojačevalnik je OP279. Sprememba upora Ri zanemarljivo vpliva na referenčno napetost. Na sliki 2 je prikazana sprememba izhodne napetosti v mV glede na spremembo napajalnega toka. Sprememba upora Ri je v razredu 0,1%. Napajalni tok referenčne diode je enak Ucc/Ri. Vpliv spreminjajoče napajalne napetosti je veliko večji, saj sprememba Ucc iz 5V na 12V pomeni spremembo referenčne napetosti pri napajalnem toku 1 mA za približno 0,2 mV. Iz zgornje slike vidimo, da so spremembe manjše, kadar uporabimo večji upor Ri (manjši napajalni tok). Optimalna vrednost upora Ri = 10 kO, kar pomeni razpon napajalnih tokov referenčne diode od 0,5 mA do 1,2 mA. Upor R2 ima vrednost 1,225 kil. Izhodni tok je proporcionalen temu uporu. Zaradi tega mora biti stabilen, ker vsaka sprememba upora R2 pomeni enak delež (v %) spremembe toka. Podobno je s temperaturnim driftom operacijskega ojačevalnika. Absolutna vrednost ofseta je tipično 4 mV, drift pa znaša 4 mV/°C. Izračunajmo sedaj skupno napako tokovnega vira. Najprej definirajmo izhodni tok. 0.01 0.1 Napajalni tok v zaporni smeri (mA) Slika 2 Vpliv napajalnega toka na spremembo zaporne napetosti Opazujmo največje spremembe. Uz ima začetno toleranco ±10 mV. Zaradi napajalne napetosti se lahko spremeni za 0,2 mV, zaradi temperature pa ±50 ppm/°C, oz ±60 mV/°C. Ktemu prištejmo še 4 mV ofseta in 4 mV/°C njegovega drifta. Prišteti moramo še ±100 ppm/°C zaradi upora R2. Skupno odstopanje izhodnega toka znaša tako ±1,16%. To odstopanje ne upošteva tolerance upora R2. Temperaturni drift toka znaša 152 ppm/°C. Prvi podatek ni kritičen za senzorske aplikacije, ker tudi 5% fiksno odstopanje pomeni le spremembo napajalnega toka od enega do drugega senzorja, ki se da tudi nastavit z aktivnim doravnavanjem upora R2. Drift pa je lahko bolj kritičen, posebno kadar želimo dobiti boljše rezultate pri temperaturni kompenzaciji. Idealno je, kadar lahko senzor med meritvami za izračun kompenzacije napajamo kar z istim tokovnim virom kot se kasneje uporabi v končnem izdelku. Morebitni drifti toka se tako upoštevajo v izračunu, celoten senzor pa ima na koncu manjši drift. 3. Temperaturna kompenzacija Sprememba temperature okolice spremeni štiri parametre senzorja: - ničelno napetost (ofset), - tlačno občutljivost (G), - razpon (S) in - mostično upornost (Rb). Ničelna napetost predstavlja izhodno napetost senzorja kadar na senzor ni obremenjen (ni priključen tlak). Tlačna občutljivost je normaliziran razpon izražen v mV razpona na volt mostične napetosti na enoto priključenega tlaka. Tipična vrednost je okrog 30 mV/V na poln obseg pri senzorjih za srednje tlake od nekaj 100mbar do nekaj barov. Razpon predstavlja razmerje izhodne napetosti mostiča proti spremembi tlaka. Za nek senzor je razpon S enak produktu tlačne občutljivosti G in mostične napetosti Ub. S=GUb (4) Temperaturna sprememba razpona je odvisna od načina napajanja senzorja. Kadar mostič napajamo s konstantno napetostjo je temperaturni koeficient razpona TKs negativen, razpon pa je proporcionalen tlačni občutljivosti. Tipična vrednost temperaturni koeficient razpona v tem primeru je okrog -0,22%/°C. Razmere se spremenijo kadar senzor napajamo s konstantnim tokom in je napajalna napetost odvisna od mostične upornosti Rb. S=GRbI (5) Mostična upornost ima pozitivni temperaturni koeficient. Tipična vrednost temperaturnega koeficienta mostične upornosti TKrb je +0,28%/°C. Za uspešno 39 Informacije MIDEM 28(1998)1, str. 38-42 M. Pavlin, D. Belavič, S. Šoba, S. Amon, U. Aljančič: _Senzorji tlaka s tokovnim napajanjem temperaturno kompenzacijo razpona mora biti izpolnjen pogoj: (6) TKBB>TKS Oba temperaturna vpliva se superponirata, tako da je pri napajanju s konstantnim tokom preostali temperaturni koeficient razpona približno 0,06%/°C. Tako je temperaturna odvisnost mostične upornosti ključnega pomena pri temperaturni kompenzaciji tlačnega senzorja. 3.1. Kompenzacija ničelne napetosti Kot smo že omenili, je ničelna napetost aii ofset enak izhodni napetosti, kadar na senzor ni priključen tlak. Poseben primer so absolutni senzorji, pri katerih moramo upoštevati, da tudi kadar niso priključeni, so izpostavljeni zračnemu tlaku. Torej jih moramo postaviti v vakuum, da bi izmerili ničelno izhodno napetost. V praksi tega ne počnemo, ampak izmerimo izhodno napetost pri dveh tlakih (od katerih je eden ponavadi zračni) in izračunamo ofset. Seveda pri tem naredimo nekaj napak. Imamo štiri merilne rezultate (dve napetosti in dva tlaka), ki imajo vsi svojo netočnost. Grobo lahko ocenimo, da ima izračunani ofset absolutnega senzorja štirikrat večji pogrešek od izmerjenega pri relativnih senzorjih tlaka. Poleg omenjenih pogreškov moramo upoštevati še nelinearnost senzorja, ki vpliva na končni rezultat izračuna. Za bolj točne meritve lahko izmerimo senzor v treh ali več točkah in njegov odziv interpoliramo z nelinearno interpolacijo. Dokaj enostavna je polinomska interpolacija s kvadratično funkcijo skozi tri točke. Na sliki 3 je prikazana električna shema temperaturne kompenzacije mostiča. Za izničenje ofseta skrbita upora R3 in R4. Kadar je ofset pozitiven je točka 5 na višjem potencialu kot točka 2. V tem primeru moramo dodati (ali povečati) upornost v vejo med točkama 2 in 3. Kadar je ofset negativen je točka 2 na višjem potencialu od točke 5 zato moramo povečati upornost med točkama 4 in 5. +VH -IZH * -VH Slika 3 Kompenzacija tokovno napajanega senzorskega mostiča Ničelna napetost ima neko vrednost pri konstantni temperaturi. Poleg tega ima tudi nek temperaturni potek, ki je ponavadi linearen. Izničenje teh temperaturnih odvisnosti dosežemo z uporoma R1 in R2. Kadar je temperaturni koeficient ofseta pozitiven, narašča napetost v točki 5 hitreje kot v točki 2. Ta temperaturni vpliv zmanjšamo, če dodamo upor R1. Zaradi tega upora bo potencial v točki 2 počasneje narašča! s temperaturo. V primeru negativne temperaturne spremembe ofseta moramo dodati upor R2. Vedno uporabimo le enega od obeh uporov. Na hibridnem debeloplastnem vezju to dosežemo s prerezom tistega upora, ki ga ne rabimo. Podobno je z uporoma R3 in R4. Eden je vedno kratko sklenjen, drug pa ima neko upornost. Dodajanje uporov R1 in R2 spremeni začetni ofset. To spremembo moramo upoštevati v izračunu. Sam izračun je precej obsežen, zato si oglejmo le končni rezultat. Senzor izmerimo pri dveh tlakih in dveh temperaturah. Izmerimo izhodne napetosti (štiri spremenljivke) in pri obeh temperaturah še mostično napetost. Skupaj imamo torej šest vhodnih spremenljivk: Tabela 1 Izmerjene vrednosti T=Ti T=T2 P=P1 Uii,Ubi Ul2,Us2 p = p2 U21 U22 V tabeli 1 so prikazane izmerjene vrednosti. Izhodna napetost mostiča je Uij. Indeks i predstavlja tlak, indeks j pa temperaturo. Merimo tudi mostično napetost Ubj. Mostič je napajan s konstantnim tokom Ib. Zaradi preglednejšega zapisa si pri izračunu uporov pomagamo s pomožnimi spremenljivkami. a: p = a - U11+UE 4Un(U„+UB, lB(UB2+2Uu) (7) (8) _ U12 + UB2 A/ , 4U12(U12+UB2) lB(UB2+2U,2) (10) Sedaj določimo serijsko upornost, ki jo moramo dodati v eno od vej senzorja in ki že vključuje tudi korekcijo zaradi spremembe ofseta zaradi dodanega paralelnega upora. a + x-,(a + x) "4 gp(5-x)-%8([3-a) 5-P (11) 40 M. Pavlin, D. Belavič, S. Šoba, S. Amon, U. Aljančič: Senzorji tlaka s tokovnim napajanjem_ Informacije MIDEM 28(1998)1, str. 38-42 Od predznaka izračunane vrednosti upora Rs je odvisno kateri upor bomo dodali: Tabela 2 Določanje serijskih kompenzacijskih uporov za ofset Predznak Vrednosti uporov Rs>0 R4=Rs, R3=0£l (12) Rs<0 R3=RS, R4=oa (13) R -Ub2 B2 ""j- >n (20) Vrednost kompenzacijskega upora R5 določa enačba 21. Us2 US1 (21) Paralelni kompenzacijski upor izračunamo iz enačbe 14. RP = gp-pRs p-a +Rs (14) Podobno kot pri serijskem uporu tudi pri paralelnem predznak določa njegovo lego. V tabeli 3 je postopek določanja lege upora za kompenzacijo temperaturnega koeficienta ničelne napetosti. Tabela 3 Določanje lege paralelnega upora Predznak Vrednosti uporov Rs>0 R2=RP, RI= °°Q. (15) Rs<0 Ri = Rp, R2= (16) 3.2, Temperaturna kompenzacija razpona Za uspešno temperaturno kompenzacijo mora biti izpolnjen pogoj (6). Idealno bi bilo, če bi veljal enačaj, ko se oba temperaturna koeficienta ravno ujameta. V resnici je TKrb vedno malo večji. Zaradi tega moramo zmanjšati izhodno upornost tokovnega generatorja z uporom R5 (glej sliko 3). Pri tej kompenzaciji se pokaže glavna prednost tokovnega napajanja. Upor R5 je tipično okrog šestkrat večji od mostične upornosti. Ker je upor vezan vzporedno z mostičem pride do zmanjšanja razpona na približno 83%. Če bi želeli isti učinek pri napajanju s konstantno napetostjo, bi morali upor R5 vezati zaporedno. Razpon bi se tako zmanjšal na približno 16%. Slabost, ki se pojavi pri konstantnem toku pa je temperaturna nelinearnost razpona, ki je veliko večja kot pri napajanju s konstantno napetostjo. Za izračun upora R5 moramo poznati razpona in mo-stični upornosti pri obeh temperaturah. Iz izmerjenih vrednosti določimo vse štiri veličine. Usi = U21 -U11 US2 = U22 - U12 UR1 Rb, (17) (18) (19) 4. ZAKLJUČEK Prikazan je bil primer izračuna kompenzacije, ki mu sicer manjka še analiza točnosti in temperaturne linearnosti. V praksi se je izkazalo, da so tokovno napajani, temperaturno kompenzirani senzorji tlaka, temperaturno bolj nelinearni od napetostno napajanih. Na prvi pogled bi lahko preko dualnega vezja ugotovili, da bi morale biti razmere iste za obe vrsti senzorjev, vendar je razmerje oz. razlika temperaturnih koeficientov upornosti in tlačne občutljivosti pri eni in drugi vrsti različna. Teoretične vrednosti, pod katere se v komercialnem 50°C temperaturnem obsegu ne da priti so okrog 0,4% FSO. Praktična meja pa je malo slabša, nekje okrog 0,75%. Pri proizvodnji senzorjev tlaka, ki je eden od proizvodnih programov podjetja HIPOT-HYB d.o.o. Šentjernej, uporabljamo omenjeno metodo pri nekaterih izdelkih. Eden takih izdelkov je senzor tlaka za merjenje absolutnega tlaka v področju od 0 do 1 bar. Senzor je izdelan na keramičnem sustratu velikosti 25,4 x 25,4 x 1 mm3 v hibridni debeloplastni tehnologiji s silicijevim senzorskim elementom. Posebnost omenjenega izdelka z oznako EST2139 je tudi ta, da senzor ne potrebuje posebnega ohišja, s priključkom za tlak. Senzor, ki je prikazan na sliki 4 ima tri zunanje priključke, za napajanje, GND in napetostni izhod. Senzorju sta dodana še napajalno vezje in ojačevalnik, tako da dobimo ob napajalni napetosti 5 V standardno izhodno napetost od 0,5 V do 4,5 V. 25.4+0.3-0.0 1.0 4.5+0.3-0.1 25+0.1 1.9 max M=2.54+0.15 Slika 4 Senzor tlaka EST2139s tokovnim napajanjem in napetostnim izhodom 0,5 V do 4,5 V 41 Informacije MIDEM 28(1998)1, str. 38-42 M. Pavlin, D. Belavič, S. Šoba, S. Amon, U. Aljančič: Senzorji tlaka s tokovnim napajanjem Poleg omenjenega izdelka podjetje HIPOT-HYB, d.o.o. Šentjernej izdeluje tudi ostale senzorje, nekatere iz standardnega programa, večino pa po naročilu kupca. Za vse ostale informacije v zvezi s senzorji tlaka in nekaterih ostalih veličin ter debeloplastnimi hibridnimi vezji se obrnite na naše podjetje in z veseljem vam bomo pomagali pri izvedbi. 5. ZAHVALA Zahvaljujemo se Ministrstvu za znanost in tehnologijo Republike Slovenije za podporo raziskovalnim institucijam, ki so sodelovale pri raziskovalnem delu. 6. VIRI /1/ M. Pavlin, "Temperaturne lastnosti piezouporovnih silicijevih senzorjev tlaka", Diplomska naloga, Fakulteta za elektrotehniko, Univerza v Ljubljani, 1995 /2/ U. Aljančič et al., "Temperature Dependencies of Silicone Pressure Sensor", MIEL-SD94 Proceedings, MIDEM, 1994, pp 157-162 /3/ J. Bryzek, "Compensating Temperature Errors in Integrated Circuit Pressure Sensors", Chicago 1992 /4/ Hipot-Hyb d.o.o. - interna dokumentacija /5/ Sensortechnic GmbH, "Pressure Sensors, Transducers and Transmitters", Handbook of Integrated circuits pressure sensors from SenSym,1997 /6/ Analog devices Designer's CD Reference Manual, CD ROM, 1996 /7/ M. Pavlin et al, Thick film sensor evaluation module, Proc. MIEL-97, Gozd Martuljek, 1997, 365-370 /8/ D. Belavič et.al, Design of thick film hybrid circuits for sensor applications, Proc. MIEL-96, Nova Gorica, 1996, 237-242 Marko Pavlin, dipl.ing Stojan Šoba, dipl. ing. HI POT, d.o.o. Trubarjeva 7, 8310 Šentjernej, Slovenia Tel.: +386 681 81 220 Fax: +386 681 81 370 E-mail: marko.paviin@guest.arnes.si Darko Belavič, dipl.ing. HIPOT, d.o.o. c/o Institut Jožef Stefan Jamova 39, 1001 Ljubljana, Slovenia prof. dr. Slavko Amon mag. Uroš Aljančič Univerza v Ljubljani, Fakulteta za elektrotehniko Tržaška 25, 1000 Ljubljana, Slovenia Prispelo (Arrived): 25.2.1998 Sprejeto (Accepted):17.4.1998 42 UDK621,3:(53+54+621 +66), ISSN0352-9045 Informacije MIDEM 28(1998)1, Ljubljana DELOVANJE MEŠANE RAZISKOVALNO RAZVOJNE SKUPINE NA PODROČJU HIBRIDNE DEBELOPLASTNE MIKROELEKTRONIKE Darko Belavič HIPOT, Šentjernej, Slovenija Ključne besede: mikroelektronika, tehnologije hibridne debeloplastne, R&D raziskave in razvoji, prenos tehnologij, sodelovanje raziskovalcev znanstvenih in industrije, skupine mešane znanstveno raziskovalno razvojne, pregled kronološki, delo teamsko Povzetek: V prispevku je predstavljen primer uspešnega sodelovanja raziskovalcev iz industrije (velikost majhnega oz. srednjega podjetja) in iz javnih raziskovalnih institucij. Sodelovanje poteka v obliki mešane raziskovalno razvojne skupine na področju hibridne debeloplastne tehnologije med Industrijskim partnerjem HIPOT-HYBTovarna hibridnih vezij d. o. o., Šentjernej in raziskovalnim partnerjem Institutom "Jožef Stefan", Ljubljana. Opisana je kratka kronologija tega sodelovanja skozi preteklo obdobje ter trenutno stanje In rezultati skupnega dela. Dosedanji uspehi so predvsem posledica tesnega sodelovanja med raziskovalci, razvojnlki in tehnologi ter poznavanja industrijskih problemov na eni strani in raziskovalnih zmožnosti na drugi strani. Na osnovi omenjenega, je mogoče pripraviti kvalitetne raziskovalne projekte, jih organizirati in Izvajati z uigranimi projektnimi tirni. Rezultati takih raziskovalnih projektov imajo običajno večjo stopnjo izkoristka v učinkovitejših razvojnih projektih In pri prenosu tehnologij v proizvodno okolje. Vse skupaj pa je lahko brez učinka, če zunanje okolje ni naklonjeno sodelovanju raziskovalne sfere in industrije. Activities of Joint Research and Development Group on Hybrid Microelectronics Keywords: microelectronics, hybrid thick film technology, R &D, Research and Development, technology transfer, collaboration of researchers and Industry, R&D mixed groups, Research and Development mixed groups, chronological survey, workteam approach Abstract: An example of the successful cooperation between researchers from SME (small or medium enterprises) and from research Institutions is described. Industrial partner is HIPOT-HYB Production of hybrid circuits, Šentjernej while the main research partner Is Jožef Stefan Institute, Ljubljana. The cooperation was established asa mixed (i.e., researchers from industry and Institute) research group 25 years ago. Short chronology of this collaboration in the past 25 years and some more Important results of research and development are presented. The successful work was based mainly on good relations between partners In research, development and technology; research possibilities at the Institute, and good understanding of problems in hybrid circuits production. The continuous support of managers must also be emphasised. All this allowed researchers and technologists to determine the problems which appear In the Industry and seek out appropriate solutions based on industrial needs and accumulated knowledge in the research sphere. This enabled both the more effective planning of the projects as well as effective technology transfer from research and development laboratory to the production. The national technology development policy was and still is one of the most important factors which Influence both successful research work and technology transfer. Uvod Urednik tematske številke revije Raziskovalec mi je zaupal prijetno dolžnost, da lahko širši raziskovalni javnosti predstavim primer dolgoletnega delovanja mešane raziskovalno razvojne (RR) skupine na področju hibridne debeloplastne mikroelektronike. Mešana RR skupina združuje raziskovalce iz raziskovalne inštitucije ter raziskovalce in razvijalce iz industrijskega podjetja velikosti majhne oz. srednje velike družbe. Omenjeni primer je sodelovanje Odseka za keramiko na Institutu "Jožef Štefan" in Raziskovalne skupine HIPOT z industrijskim partnerjem HIPOT-HYB, Tovarna hibridnih vezij d. o. o., Šentjernej. Kot avtor prispevka imam namen opisati konkreten primer sodelovanja raziskovalne sfere in industrije, nimam pa kompetenc in potrebnih informacij, da bi svoj prispevek razširil na splošni nivo sodelovanja industrije in znanstveno raziskovalne sfere v Sloveniji. Upam, da prispevek ne bo izzvenel preveč specifično, ker primer morda ni tipičen za razmere, ki vladajo med znanostjo in industrijo v Sloveniji. Prispevek začenjam s kratkim opisom tehnologije, nadaljujem s kronološkim pregledom, z opisom industrijskega partnerja, s trenutno obliko sodelovanja in s prikazom rezultatov raziskovalno razvojnega delovanja ter končujem s perspektivo nadaljnjega delovanja mešane RR skupine. Kretek opis tehnologije Debeloplastna hibridna tehnologija je ena izmed mik-roelektronskih tehnologij in je glede na stopnjo integracije postavljena med monolitna integrirana vezja (t. i. čipi) in tiskana vezja. Vse omenjene tehnologije se neprestano razvijajo in na ta način medsebojno vplivajo druga na drugo. Hibridna debeloplastna tehnologija po eni strani predstavlja alternativo elektronskim vezjem na tiskaninah, kottudi monolitnim integriranim vezjem. Po drugi strani, kar je velikokrat pomembnejše, pa je komplementarna z obema omenjenima tehnologijama. Debeloplastna hibridna tehnologija omogoča povezovanje integriranih vezij in ostalih elektronskih komponent na keramičnem substratu, oziroma omogoča uporabo v tej tehnologiji 43 Informacije M1DEM 28(1998)1, str. 43-47 D. Belavič: Delovanje mešane raziskovalno razvojne skupine _na področju hibridne debeloplastne mikroelektronike izdelanega vezja, na tiskanem vezju. Poleg tega je debeloplastna tehnologija ena izmed prevladujočih tehnologij za izdelavo senzorjev In/ali pripadajoče elektronike za obdelavo senzorskega signala. Z vidika tehnološkega procesa je to tehnologija, ki z metodo sitotiska, sušenja in žganja debeloplastnih plasti, integrira na keramičnem substratu (AI2O3) prevodne plasti, izolacijske plasti in upore. Ime hibridna pa dobi potem, ko z različnimi metodami pritrjevanja (spajkanje, lepljenje, bondiranje) dodamo diskretne aktivne in/ali pasivne komponente (integrirana vezja, tran-sistorje, kondenzatorje, razne induktivne komponente,...). Kronološki pregled Že leta 1969 so se v podjetju Iskra Elementi odločili za hibridno debeloplastno tehnologijo. Izbrali so nosilca naloge, mu omogočili študij in proučevanje te tehnologije in ga poslali na specializacijo v Veliko Britanijo. Kasneje so se skupaj z Odsekom za keramiko na Institutu "Jožef Štefan" odločili in leta 1972 ustanovili mešano RR skupino v okviru Odseka za keramiko. Leta 1973 je podjetje Iskra Elementi predalo iniciativo svoji hčerinski firmi Iskra IEZE Uporovni elementi (kasneje Iskra IEZE HIPOT in sedaj HIPOT-HYB) iz Šentjerneja. V letu 1974 so se v RR skupini na Institutu "Jožef Štefan" začela občasna izpopolnjevanja sodelavcev, ki so kasneje zavzemali ključna mesta v tehnologiji in razvoju pri omenjenem industrijskem partnerju. Hkrati je bilo razvi-tjh tudi nekaj izdelkov za industrijskega partnerja. V Šentjerneju se je leta 1975 že začela proizvodnja. V naslednjem letu je bila razvita družina hibridnih debeloplastnih vezij za telekomunikacije, kateri je sledila masovna proizvodnja. Leta 1977 so se začeli uporabljati elementi za površinsko montažo na hibridnih vezjih. Pri industrijskem partnerju pa se je začela pripravljati naložba, ki se je leta 1979 zaključila z otvoritvijo novih proizvodnih prostorov. Leta 1980 so bila razvita in izdelana prva večplastna hibridna vezja z bondiranimi golimi silicijevimi tabletkami in v hermetičnem ohišju, leta 1982 pa družina hibridnih vezij za prenosne sprejemno-oddajne radijske postaje, kjer se je uporabljala mešana tehnologija spa-jkanja in bondiranja, ki se je leta 1984 prenesla v proizvodnjo. To leto je industrijski partner izpeljal tudi pomembno naložbo v opremo. Oboje je bilo osnova za razvoj senzorja tlaka za invazivno merjenje krvnega tlaka v letu 1986, ki je bil v letih 1987 in 1988 prenešen v proizvodnjo. Po naložbi v opremo, se je začela veliko-serijska proizvodnja senzorja tlaka. Naslednje generacije omenjenega izdelka so še danes nosilni produkt pri industrijskem partnerju. V začetku devetdesetih let se je začel industrijski partner reorganizirati, tako da je prevzema! večino razvojnega dela in prepuščal RR skupini le raziskovalno delo in zahtevnejše razvojno delo. Reorganizacija je nastala zaradi spremenjenih pogojev poslovanja in zaradi priprav na standard ISO 9001, ki je bil pridobljen leta 1993. Tudi mešana RR skupina je sledila prej omenjenim spremembam, s svojim delom razvila v sredini devetde- setih let tehnologije za novo generacijo hibridnih debeloplastnih vezij in s tem pomagala industrijskemu partnerju pri prodoru na zahtevnejše zahodno evropsko tržišče. Hkrati je RR skupina začela raziskovati na področju debeloplastnih tehnologij in materialov za izdelavo senzorjev, kar je postala nova strateška usmerjenost industrijskega partnerja. Ker za delovanjem mešane RR skupine stojijo ljudje, bi bil kronološki pregled osiromašen, če ne bi omenil njihovih imen (že vnaprej se opravičujem, ker nisem imenoval vseh), ki so zaznamovali delo in obstoj skupine. Na prvem mestu je Franc Jan, saj je prvi začel delati ne tej tehnologiji in bil vodja skupine do upokojitve leta 1994, sledijo mu sodelavci, ki so bili ali so še člani skupine. Mešana RR skupina je imela pomembno zunanjo podporo in sicer na Institutu "Jožef Štefan" profesor dr. Drago Kolar; na Ministrstvu za znanost in tehnologijo (MZT) oz. prej na Raziskovalni skupnosti Slovenije (RSS) na začetku Lovro Rešek in kasneje vsi, ki so podpirali povezavo znanosti z industrijo; iz združenega podjetja Iskra mag. Milan Slokan; iz podjetja Iskra IEZE Igor Pompe; iz podjetja Iskra IEZE Uporovni elementi (kasneje Iskra IEZE HIPOT in sedaj HIPOT-HYB) pa Božidar Pavlin in Janez Alič ter direktorji Ludvik Simonič, Franc Baznik in Jože Colarič. Industrijski partner Industrijski partner mešane RR skupine je družba HIPOT-HYB Tovarna hibridnih vezij d.o.o., Šentjernej. Družba je bila ustanovljena 1.4.1991 in je ena od naslednic Iskre IEZE HIPOT (prej Iskra IEZE Uporovni elementi). Družba HIPOT-HYB je proizvajalec hibridnih debeloplastnih vezij ter medicinskih in industrijskih senzorjev tlaka. HIPOT-HYB ima zaposlenih 123 delavcev, ki delajo v prostorih s skupno površino 1.000 m2. Proizvodni prostori so primerno urejeni, klimatizirani in antielektro-statično opremljeni. V določenih prostorih je stopnja čistoče pod 10.000 delcev/m3. Poslovni proces je organiziran po zahtevah standarda ISO 9001, medicinski senzorji tlaka pa še po priporočilih GMP. Oprema, ki je v lasti HIPOTa, zadošča za obstoječo proizvodnjo, vendar jo bo potrebno do leta 2000 posodobiti zaradi usklajenosti s svetovnimi trendi oziroma konkurenco, v smislu zagotavljanja kakovosti in produktivnosti. HIPOT HYB ima vzpostavljen sistem zagotavljanja kakovosti v skladu s standardom ISO 9001. Certifikat ISO 9001 je pridobila leta 1993 od renomirane nemške firme DOS, ki vsako leto opravi presojo skladnosti s standardom. Dejavnosti zagotavljanja kakovosti so načrtovane v letnih planih, kjer so določeni cilji, sredstva In akcije. Poudarek je na strokovnem usposabljanju zaposlenih s ciljem stalnega izobraževanja na področju zagotavljanja kakovosti izdelkov, proizvodnih procesov, poslovnih funkcij in poslovne uspešnosti družbe. Marca 1997 je družba pridobila status dobavitelja koncema VW-Audi, kar je dokaz o uspešnosti zastavljene politike obvladovanja kakovosti v družbi. Proizvodnja z ekološkega vidika ne predstavlja večjih obremenitev in nevarnosti za okolje in prostor. Del eko- 44 D. Belavič: Delovanje mešane raziskovalno razvojne skupine na področju hibridne debeloplastne mikroelektronike Informacije MiDEM 28(1998)1, str. 43-47 loško oporečnih materialov se odproda kot sekundarno surovino, del pa se pošlje v reciklažo. Proizvodni program družbe tudi ni energetsko potraten. Osnovni ener-gent je električna energija, katere letna poraba je približno 900 kWh. HIPOT-HYB trži pretežno na razvitih zahodnih trgih, kjer ustvari 75% prihodkov od prodaje. Vrednost prodaje je v letu 1996 znašala 9,17 mio DEM, v letu 1997 pa je načrtovana v višini 10,7 mio DEM. V poslovnem načrtu družbe do leta 2002 je podvojitev prihodka. Najpomembnejši trgi so Nemčija (65%) in Slovenija (25%), ostali delež pa se proda v Avstrijo, Italijo in ZDA. V programski strukturi je najpomembnejši program medicinskih senzorjev tlaka s 60% deležem, sledijo hibridna debeloplastna vezja s 37% deležem, 3% delež pa je leta 1997 predstavljal nov program industrijskih senzorjev tlaka. Strategija nadaljnjega razvoja družbe HIPOT-HYB temelji predvsem na povečanju deleža senzorike (medicinski senzorji tlaka za ameriško tržišče, industrijski senzorji tlaka itd.) in vpeljavi novega programa elektronskih komponent za avtomobilsko industrijo. Pri programu hibridnih debeloplastnih vezij po naročilu pa se predvideva manjša rast. Za izpeljavo omenjene strategije nadaljnjega razvoja družba HIPOT-HYB načrtuje: - Intenzivno razvojno raziskovalno dejavnost, povezano z znanstveno raziskovalnimi inštitucijami v Sloveniji in tujini. - Vlaganje okoli 10% celotnega prihodka v RR dejavnost. - Nadaljnjo uvajanje poslovnih standardov - Širitev mreže trženja - Naložbe, ki bodo v obdobju do leta 2000 s približno 1,5 mio DEM obnovile tehnološko proizvodne sposobnosti na konkurenčni nivo. Mešana RR skupina V okviru Odseka za keramiko na Institutu "Jožef Štefan" deluje na področju debeloplastne tehnologije in materialov že od leta 1972 mešana RR skupina. Skupina združuje strokovnjake za materiale in elektroniko in je tesno povezana z industrijskim partnerjem HIPOT-HYB Tovarna hibridnih vezij d. o. o., Šentjernej. RR skupino sestavljamo sodelavci, ki prihajamo iz industrije (trenutno smo trije iz RR skupine HIPOT) in trije sodelavci z Instituta "Jožef Štefan". RR skupina se na projektih močno povezuje tudi z ostalimi raziskovalci na Odseku za keramiko in tudi širše na Institutu "Jožef Štefan". Projektno ali programsko sodelovanje pa je vzpostavljeno tudi z drugimi institucijami v Sloveniji (Fakulteta za elektrotehniko Ljubljana, Fakulteta za elektrotehniko, računalništvo in informatiko, Maribor, Iskratel, Kranj...) in v tujini (Ecomedis Altenberge, Tehnična univerza Budimpešta, Politehnična univerza Bukarešta, IMEC Gent, TWI Cambridge, Tehnična univerza Brno, Tehnična univerza Dunaj, SDM, Kalifornija...). RR skupina je dejavna na področjih: - Raziskave debeloplastnih materialov, substratov, polimernih materalov, itd. - Zasledovanje in proučevanje trendov v hibridni tehnologiji ter preiskušanje novih tehnologij in materialov. - Uvajanje novih tehnologij oziroma tehnoloških procesov v proizvodnjo. - Proučevanje in preskušanje postopkov in materialov za pritrjevanje diskretnih komponent - Razvoj novih izdelkov (hibridna vezja in senzorji) in prenos v proizvodnjo. - Sodelovanje s tehnologi v proizvodnji na tekoči problematiki ter občasno ali stalno kontrolo tehnoloških procesov. - Mednarodne dejavnosti. - Publiciranje in sodelovanje na domačih in tujih konferencah. Poleg naštetih dejavnost so se v RR skupini vzgajali kadri (do sedaj deset tehnologov oz. razvijalcev), ki so po osvojenem programu izobraževanja skupaj s tehnologijo prešli k industrijskemu partnerju. Trenutno sta v skupini dva mlada raziskovalca, eden član skupine, drugi pa zaposlen pri industrijskemu partnerju. Rezultati Rezultati dejavnosti mešane RR skupine s področja hibridne debeloplastne tehnologije v minulih 25 letih so: - preko sto publikacij - en patent - štiri inovacije in enajst tehničnih izboljšav - tri državna priznanja - razvoj preko 700 prototipov hibridnih debeloplastnih vezij - prenos preko 400 prototipov v proizvodnjo - vključenost RR skupine v mednarodno znanstveno in strokovno dogajanje. Omenjeni rezultati so posledica dolgoletnega uspešnega sodelovanja med obema partnerjema. Tako sodelovanje pa je lahko nastalo, ker so v industriji razumeli, da potrebujejo raziskovalno dejavnost, ki jo sami ne morejo opravljati in ker so se raziskovalci odločili, da del svojih raziskav vežejo na potrebe industrije. Pri sodelovanju je pomemben dejavnik tudi odprtost in dobri medčloveški odnosi. In ne nazadnje je pomembna tudi podpora zunanjih dejavnikov, kot so MZT (prej RSS), v preteklosti združeno podjetje Iskra in Iskra IEZE, vodje raziskovalnih institucij in skupin, direktorji industrijskega partnerja, itd. Tesno sodelovanje med raziskovalci, raz-vojniki in tehnologi, poznavanje raziskovalnih zmožnosti in industrijskih problemov, omogoča na eni strani prepoznavanje in artikuliranje potreb industrijskega partnerja, in na drugi strani iskanje potrebnih znanj v raziskovalni sferi. Na osnovi omenjenega je mogoče pripraviti kvalitetne raziskovalne projekte in jih organizirati in izvajati z uigranimi projektnimi tirni. Rezultati takih raziskovalnih projektov pa imajo običajno večjo stopnjo izkoristka pri pripravi boljših razvojnih projektov in pri učinkovitejšem prenosu tehnologij v proizvodno okolje. 45 Informacije MIDEM 28(1998)1, str. 43-47 D. Belavič: Delovanje mešane raziskovalno razvojne skupine _na področju hibridne debeloplastne mikroelektronike Da tako sodelovanje vpliva tudi na kvaliteto raziskovalnega dela, govori naslednja zgodba. Jugoslovanska ljudska armada je v času SFRJ konkurenčnemu laboratoriju v Banja Luki financirala opremo, nakup licence in izobraževanje kadrov v ZDA. Kasneje se je izkazalo, kar so priznali z naročilom pomembnih projektov, daje bila naša RR skupina korak pred omenjeno konkurenco. S skromnejšo opremo, vendar s svojim znanjem, smo bili takrat tehnološko bolje usposobljeni, kar pripisujem predvsem dvema stvarema. Delovanju skupine v raziskovalnem okolju na Institutu "Jožef Štefan" ter sodelovanju raziskovalcev z industrijskim partnerjem, ki je zaradi svojih potreb postavljal raziskovalcem vedno nove in nove izzive. Perspektive Prilagodljivim pripada prihodnost! Z nenehnim razvojem tehnologije se mora spreminjati tudi vsebina raziskovanja naše mešane RR skupine, vendar oblike sodelovanja z industrijskin partnerjem ni potrebno bistveno spreminjati. Vsebinsko pa mora biti širina in usmerjenost raziskovanj - lahko bi rekli strategija za raziskave in razvoj - skrbno pretehtana, predvsem v luči treh vidikov. Prepoznavanje bistvenih raziskovalnih, tehnoloških in tržnih trendov, določitev raziskovalnih potreb in upoštevanje sposobnosti partnerjev. Pri pripravi usmeritev RR skupine je še najlaže izpolniti prvi del. To je zasledovanje in prepoznavanje raziskovalnih, tehnoloških in tržnih trendov. Na kratko lahko omenim, da so tehnološki trendi na področju hibridne debeloplastne tehnologije naslednji: - večanje gostote povezovanja z uporabo novih tehnologij - novi postopki za pritrjevanje diskretnih komponent - cenejši materiali ter cenejši in manj občutljivi tehnološki procesi za nekatere aplikacije - novi kvalitetnejši materiali za nekatere aplikacije - večanje zanesljivosti delovanja - uporaba tehnologije na področjih senzorike ter močnostne in mikrovalovne elektronike - nadaljnji pritisk regulative s področja varstva okolja Drugi del (določitev raziskovalnih potreb), pri pripravi usmeritev RR skupine, je v veliki meri vezan na strateško usmerjenost industrijskega partnerja. V manjši meri pa predstavlja raziskovalno svobodo same RR skupine. Težave se pokažejo pri tretjem delu priprave usmeritev RR skupine. Težava ni v tem, da ne bi znali narediti analize sposobnosti, ampak ta analiza pokaže na probleme, ki bodo prej ali slej imeli resne posledice. Najbolj pereč tak problem je obnavljanje opreme za obstoječo tehnologijo in nabava opreme za nove tehnologije ter tudi kadrovske težave v RR skupini in pri industrijskem partnerju. Glede opreme si za ilustracijo dovolim skok v preteklost. V preteklem obdobju je RR skupina redno posodabljala opremo s pomočjo industrijskega partnerja in Raziskovalne skupnosti Slovenije. Kronologija večjih investicij, kot so bile pri prenosu tehnologij v industrijo, pa je naslednja. Leta 1979 je Raziskovalna skupnost Slovenije sokreditirala nakup opreme v približni vrednosti 1 mio DEM pri prenosu tehnologije z Instituta "Jožef Štefan" v Iskro IEZE Uporovni elementi. Leta 1984 je Mednarodna finančna korporacija (IFC) odobrila kredit v približni višini 2 mio USD za nakup opreme za razširitev proizvodnje v Iskri IEZE Uporovni elementi. Leta 1988 je ponovno Raziskovalna skupnost Slovenije kreditirala nakup opreme v približni višini 0,7 mio DEM pri prenosu tehnologije senzorjev tlaka z Instituta "Jožef Štefan" v Iskro IEZE HIPOT. Poudarjam, da so bile vse te naložbe gospodarno izpeljane In vsi omenjeni krediti vrnjeni. Prepričan pa sem, da brez omenjenih naložb ne bi uspel še tako dobro pripravljen projekt prenosa tehnologije v industrijo. Čas "tranzicije" (od začetka devetdesetih let dalje) sta RR skupina in industrijski partner preživela brez večjih naložb, zato je današnje stanje na področju opreme kritično. Če RR skupina ne bo investirala v opremo za nove tehnologije, se lahko zgodi, da ne bo več zanimiva za raziskovalno okolje doma in v tujini. Večje posledice pa so lahko za industrijskega partnerja, saj mu že sedaj njegovi potencialni poslovni partnerji očitajo iztrošenost opreme. Večja naložba je seveda opravičljiva le z realizacijo novih projektov, ki pa so običajno vezani ravno na poslovne partnerje. Torej bi potrebovali t.i. rizičen kapital oziroma ugodne kredite za uspešno raziskovalno delo ter za prenos in vpeljavo novih tehnologij v proizvodnjo. Kaj pa kadri? Pri dosedanjih prenosih tehnologije je industrijski partner preusmeril ali na novo zaposlil ustrezne kadre, ki so se nekaj časa usposabljali v okviru RR skupine in potem skupaj z novo tehnologijo prešli v proizvodno okolje. Sedanje kadrovsko stanje industrijskega partnerja pa je takšno, da komaj pokriva tekoče razvojne in tehnološke potrebe. Pri tem pa novo zaposlovanje skoraj ni možno, niti pri industrijskem partnerju, niti pri raziskovalnem partnerju. Še slabšo kadrovsko sliko je v zadnjih letih preprečilo Ministrstvo za znanost in tehnologijo s sofinanciranjem nekaterih RR projektov in mladih raziskovalcev. Zato se v imenu RR skupine najlepše zahvaljujem Ministrstvu za znanost in tehnologijo, saj je zmanjšalo osip RR skupine in zavrlo odhod raziskovalcev iz industrije. Zaključek Primer, ki sem ga opisal v prispevku, verjetno ni tipičen za slovenske razmere, vendar je v našem primeru uspešen. Mislim pa, da je to primeren način raziskovalno razvojnega delovanja za majhna in srednja industrijska podjetja, ki si ne morejo privoščiti lastne raziskovalno razvojne dejavnosti zaradi drage opreme in potrebne kritične mase kadrov. Na drugi strani, lahko iz izkušenj trdim, da domači ali tuji raziskovalci radi delajo na dobro definiranem problemu iz industrije. Pri tem pa je velikokrat pomembno, da je med raziskovalnim in industrijskim partnerjem vmesni člen, ki razume obe strani. Zato imajo ponekod po svetu za te namene ustanovljene centre za prenos tehnologij. Dilema, ki pa ostane odprta, je, ali in kako bo politika vzpodbujanja tehnološkega razvoja v Sloveniji (ne 46 D. Belavič: Delovanje mešane raziskovalno razvojne skupino na področju hibridne debeloplastne mikroelektronike_ Informacije MIDEM 28(1998)1, str. 43-47 samo MZT) podpirala sodelovanje raziskovalne sfere z industrijo. Na eni strani imamo pozitivna primera Irske in Finske, kot primerljivi državi, na drugi strani pa je možen črn scenarij prepuščanja počasnemu propadanju malih in srednjih podjetij, ki se ukvarjajo z visoko tehnologijo. Ob tem pa bi raziskovalne skupine dokazovale svojo odličnost, pisale članke in pripravljale referate - seveda v tujem jeziku. Za konec še nekaj primerov, ki so sami po sebi dovolj zgovorni. V oktobru 1997 sem se udeležil dveh nacionalnih konferenc ISHM (ISHM je mednarodno združenje za hibridno mikroelektroniko). Prva je bila na Poljskem, druga pa v Nemčiji in na obeh je bilo približno enako število udeležencev. Na Poljskem je bilo razmerje udeležencev iz akademsko-raziskovalne sfere proti udeležencem iz industrije približno 9:1. V Nemčiji je bilo razmerje obratno. (Na evropskih konferencah ISHM je to razmerje približno 4:6.) Druga primerjava pa je višina kotizacije, ki je bila v obeh primerih približno enaka. V Nemčiji je pokrila konferenco in zbornik referatov, na Poljskem pa konferenco, zbornik referatov in celotno tridnevno hotelsko oskrbo. Na drugi strani pa imamo slovensko primerljivo konferenco. Razmerje v sestavi udeležencev je bliže tisti na Poljskem, kotizacija pa je približno dvakrat večja in pokriva konferenco in zbornik referatov. Nekatere države podpirajo znanstveno raziskovalno delo tudi na simbolni ravni. Tako je bil uvodni govornik na ameriški konferenci ISHM podpredsednik ZDA Al Gore. V Pragi je udeležence mednarodne konference ISHM/NATO nagovoril minister za izobraževanje. Pred leti je udeležence evropske konference Eurosensor v Rimu nagovoril minister za zunanje zadeve. In verjetno bi lahko kakšen izkušenejši raziskovalec naštel še več takih primerov. Prispevek je bil objavljen v reviji Raziskovalec Darko Belavič, dipl.ing. HIPOT, d.o.o. c/o Jožef Stefan Institute Jamova 39, 1001 Ljubljana, Slovenia Tel.: +386 61 1773 479 Fax: +386 61 1263 126 E-mail: darko.belavic@ijs.si Prispelo (Arrived): 25.2.1998 Sprejeto (Accepted): 17.4.1998 47 In formacije MIDEM 28(1998)1, Ljubljana MIDEM IN NJEGOVI ČLANI, NOVICE IZ DRUGIH SREDIN MIDEM SOCIETY AND ITS MEMBERS, NEWS FROM OTHER INSTITUTIONS TANDEMSKI POSPESEVALNIK NA IJS Informacija ob postavitvi TANDETRONa M. Budnar Institut "Jožef Štefan", Jamova 39, p.p. 3000, 1001 Ljubljana 1. Namesto uvoda Na Institutu "Jožef Štefan" (IJS) v Ljubljani je bila decembra 1997 otvoritev novega elektrostatskega po-speševalnika za ione z energijami do nekaj MeV. Namenjen je osnovnim raziskavam v atomski fiziki ter uporabnim raziskavam na vrsti interdisciplinarnih področij. Želja po postavitvi sodobnega elektrostatskega po-speševalnika tandemskega tipa, je bila na IJS prisotna že vrsto let. Idealna prilika se je ponudila, ko je bil leta 1994 sprejet projekt tehnične pomoči Mednarodne agencije za atomsko energijo na Dunaju (IAEA), namenjen Sloveniji, ki je omogočal realizacijo takšne investicije. Sredstva, ki jih je za postavitev pospeševalnika namenila IAEA, so bila obogačena s strani Ministrstva za znanost in tehnologijo (MZT). K vsemu pa je prispeval tudi IJS z lastnimi sredstvi in sicer za obnovo eksperimentalnih prostorov ter z obstoječo eksperimentalno opremo. Nizkoenergijski pospeševalniki danes v svetu predstavljajo odjično orodje za temeljne in interdisciplinarne raziskave. Še pomembnejša pa je njihova splošna uporabnost, saj so koristni pri proizvodnji izotopov, v medicini za terapevtiko, v industriji pri preiskavah in modifikacijah materialov, pri sterilizaciji hrane in opreme, pri ovrednotenju in zmanjšanju antropogenih vplivov na okolje, in še kje. Pomembno je, da o njih čim več ve širša javnost, saj so lep primer neškodljive uporabe jedrskih tehnologij. 2. Nizkoenergijski pospeševalniki Pod pojmom pospeševalnik fiziki razumemo napravo, ki je namenjena pospeševanju majhnih nabitih delcev (ionov, elektronov), pogosto do prav visokih energij. Za vrhunske raziskave, ki prispevajo k razumevanju osnovne zgradbe snovi na mejah današnje znanosti, so potrebne zahtevne in drage naprave. Razvite države jih največkrat gradijo s skupnimi vlaganji v mednarodnih centrih, kot je na primer CERN v Ženevi. Raziskave na njih so organizirane v mednarodnih skupinah - tako imenovanih kolaboracijah. Seveda pa se poleg teh, res izjemno velikih aparatur, uporabljajo tudi dosti manjše, ki so uporabne na različnih interdisciplinarnih področjih ter pri raziskavah v atomski in molekulski fiziki. Ker imamo pri takih po-speševalnikih opravka s precej nižjimi energijami, do nekaj MeV, jih običajno poimenujemo s pridevnikom nizkoenergijski. Merilo za razvrstitev je torej energija, ki jo prejmejo nabiti delci pri pospeševanju. Načini, kako nabite delce pospešujemo, so različni. Ena izmed možnosti je, da so pospeševalniki elektrostatični ter pospeševanje linearno. Pri večjih pospeševalnikih pa pogosto ne gre drugače, kot da so ciklični in da se za pospeševanje uporabljajo visokofrekvenčna elektromagnetna polja. Nizkoenergijske pospeševalnike si danes privoščijo praktično v vseh bolj ali manj razvitih državah, tako tudi pri naših sosedih. Najbližji so v Laboratori Nazionali di Legnaro pri Padovi, Johannes Kepler Univerzi v Linzu, Univerzi na Dunaju, KFKI v Budimpešti, ATOMKI v De-brecenu ter na Institutu Ruder Boškovič v Zagrebu. Tudi v Sloveniji jih imamo, saj smo se s tehnologijo pospeševalnikov prvi seznanili že v petdesetih letih. Potreba po njih je takrat izvirala iz nujnosti, da doma organiziramo kvalitetno raziskovalno delo na področju jedrske fizike. To pa ni bil edini razlog, saj so razvijajoče se tehnologije, ki so temeljile na spoznanjih iz atomskega sveta, obetale mnogo uporab. Na IJS so bili postavljeni trije raziskovalni pospeševalniki in sicer Van de Graaffov (VDG), nevtronski generator ter betatron. Prva dva sta bila v celoti zgrajena doma in namenjena predvsem temeljnim raziskavam, betatron, ki je bil kupljen v tujini, pa je poleg za raziskovalno delo služil tudi za terapevtske namene. Od vseh treh obratuje danes le še VDG, ki pa je sedaj, po več kot 40 letih delovanja, skorajda neuporaben za resno raziskovalno delo. Poleg teh drugih podobnih naprav v Sloveniji do nedavna ni bilo. Seveda pa je treba omeniti, da imajo na Onkološkem inštitutu v Ljubljani terapevtske linearne pospeševalnike, ki so namenjeni zdravljenju malignih obolenj. 3. Ideja o prenovi pospeševalnika na Institutu Jožef Štefan O tem, da bi postavili nov pospeševalnik na IJS, se je razmišljalo že v sedemdesetih letih. Takrat je ideja izvirala iz spoznanja, da doma z obstoječo opremo ni več mogoče nadaljevati raziskav v jedrski fiziki. Izoblikovalo se je strokovno mnenje, da postavimo linearni pospeševalnik (LINAC) za elektrone, s katerim bi lahko nadaljevali uspešno delo slovenskih fizikov na VDG in betatronu. Seveda bi bil tak pospeševalnik uporaben tudi širše, za mnoge interdisciplinarne raziskave. Ideja 48 In formacije MIDEM 28(1998)1, Ljubljana takrat ni bila uresničena, posledic pa je bilo več. Del slovenskih jedrskih fizikov se je usmeril v sodelovanje v mednarodnih skupinah na velikih pospeševalnikih, predvsem na področju fizike delcev in jedrske fizike. Pri tem so bili ves čas zelo uspešni, o čemer pričajo številne publikacije. Drugi so nadaljevali z raziskavami doma, s tem, da so zamenjali področje in se začeli ukvarjati z atomsko fiziko ter razvojem spektroskopskih metod z ioni oziroma rentgensko svetlobo. Ker pa je tudi za atomske fizike sodelovanje v mednarodnih centrih nujno, smo vzpostavili delovne stike z mnogimi priznanimi skupinami v svetu, pa naj gre za raziskave z ioni kot pri uporabi sinhrotrona. Vzporedno s temeljnimi raziskavami se je razširilo področje aplikativne fizike, večinoma v povezavi z meritvami radioaktivnosti ter dozimetrijo. Iz skupine, ki je pri pospeševalnikih skrbela za ravoj merilne opreme, pa je nastalo uspešno podjetje - "AMES", d.o.o., ki je danes vključeno v Tehnološki park Ljubljana. Osnovna ugotovitev pri tem je, da so raziskave ob pospeševalnikih zelo obogatile slovenski raziskovalni prostor. Seveda se je treba pri tem zavedati, da uporabni rezulati ne pridejo takoj, temveč je za njih potreben določen časovni zamik. Raziskave v atomski fiziki in razvoj spektroskopskih metod ob obstoječem VDG pospeševalniku, so bile ob zatonu projekta LINAC še možne. Rezulati tega dela so prispevali k določeni mednarodni uveljavitvi, kar je vodilo k odločitvi, da je Mednarodna agencija za atomsko energijo (IAEA) Sloveniji odobrila tehnično pomoč. Ta je bila v celoti namenjena posodobitvi, oziroma nadomestitvi dotrajanega VDG s sodobnim tandem-skim pospeševalnikom. Vgrajena eksperimen-talna oprema nudi dobro osnovo za uspešen mikro-analitski instrumentalni center. V njem potekajo raziskave, ki povezujejo različna področja. To je torej bila priložnost za obogatitev razvojnega potenciala Slovenije in za njeno nadaljnjo mednarodno uveljavitev. Pospeševal-nik je postavljen na Reaktorskem centru na Brinju in sedaj obratuje že skoraj eno leto. 4. Kako deluje Tandetron Tandemski pospeševalnik je naprava, v kateri z elektrostatičnim poljem pospešujemo ione do energij nekaj MeV (Slika 1.). Kot je splošno znano, so ioni atomi, ki imajo nekaj elektronov manj ali kakšnega več kot nevtralen atom. V pospeševalniku jih pripravimo v tako imenovanih ionskih izvorih, kjer na tak ali drugačen na in poskrbimo za ionizacijo atomov. Pri nizkoenergijskih pospeševalnikih so običajno v uporabi radiofrekvenčni, plazemski ter naprševalni ("sputtering") ionski izvori. V radiofrekvenčnem oziroma plazemskem pripravimo pozitivne ione vodika in helija z elektromagnetno razbremenitvijo. Ker pa za nadaljnje pospeševanje potrebujemo negativne, moramo poskrbeti za spremembo njihovega naboja. To dosežemo v izmenjalnem kanalu, ki vsebuje Li pare. Elektroni so namreč na atome Li slabo vezani in se rade volje prilepijo na mimo leteče pozitivne ione. Drugače postopamo v naprševalnem izvoru, kjer lahko tvorimo negativne ione tudi najtežjih elementov. V njem z ioni cezija obstreljujemo tarčo izbranega elementa. Cezijevi ioni izbijajo atome iz tarče, ti pa se pri prehodu skozi plast cezija, ki se nabere na površini tarče, oblečejo z elektroni. Za dobro delo z ionskimi izvori je potrebno kar nekaj znanja in izkušenj, še posebej, če želimo pripraviti ione za skoraj vsakega izmed elementov v periodnem sistemu. Tandemski pospeševalnik (Slika 2.), ki smo ga postavili v Ljubljani, je zasnovan tako, da so na njem na razpolago ioni od vodika do zlata s tokovi do nekaj 10 |aA Ta pospeševalnik s komercialnim imenom Tandetron je Slika 1.: Shematski prikaz tandemskega pospeševalnika - Tandetrona - ki ga proizvaja firma HVEE iz Nizozemske. Negativne ione iz ionskega izvora odklonski magnet usmeri v prvo pospeševalno cev. Visoka napetost na terminalu jih pospeši v "stripping" kanal, kjer se jim spremeni naboj. Nato se ioni ponovno pospešujejo v drugi pospeševalni cevi. S preklopnim magnetom jih usmerimo v izbrano žarkovno cev in s tem v merilno celico. 49 In formacije MIDEM 28(1998)1, Ljubljana Slika 2.: Pospeševalniki, ki jih dobavlja HVEE, so moderno zasnovani in dokaj zanesljivi. Na fotografiji je prikazana postavitev v Mikroanalitskem centru (MIC) na IJS. izdelek nizozemske firme High Voltage Engineering Europe (HVEE) in je narejen po ameriški licenci (IONEX), seveda z mnogimi izboljšavami, ki jih nudijo moderne tehnologije. Na izhodu iz ionskih izvorov je 90° odklonski magnet, ki ione z izbrano maso usmeri v pospeševalnik ter curek očisti nezaželenih primesi. Na vhod torej pripeljemo le negativne ione z izbranim razmerjem med nabojem in maso. V tandemskem pospeševalniku je pospeševanje rešeno zelo izvirno, saj ione pospešujemo dvakrat. Najprej kot negativne od zemeljskega potenciala do visokonapetostne elektrode, ki je na sredini pospeševal-nika. Znotraj elektrode se nahaja tako imenovani "strip-ping" kanal, v katerega dovajamo dušik. V njem prihajajoči ioni izgubijo nekaj elektronov, ko se zadevajo in sipajo na molekulah dušika. Pri tem spremenijo predznak, dobimo pozitivne z eno ali večkratnim osnovnim nabojem. Ko ioni v elektrostatičnem polju letijo od visokonapetostne elektrode proti zemeljskemu potencialu, se pospešijo drugič. Njihova končna energija je torej odvisna od naboja, ki ga nosijo in seveda od napetosti na visokonapetostnem terminalu. Na našem pospeševalniku imamo na razpolago napetosti do dva milijona voltov, zato vodikovi ioni lahko prejmejo do 4 MeV energije, težji ioni, katerim lahko odvzamemo več nabojev, pa ustrezno več. Vse to se mora dogajati v visokem vakuumu pod 10~7 mbar, če želimo, da se ioni na svoji poti čim manj sipajo in ustavljajo. V Tandetronu viskonapetostno elektrodo nabijemo bolj elegantno kot pri klasičnih tandemskih pospeševal-nikih. Za razliko od nabojnega traku, s katerim običajno dovažajo naboj na elektrodo, tu uporabljamo visoko- napetostni usmernik. Tehnološki razvoj zadnjih let je namreč omogočil, da se na tržišču dobijo vzdržljive usmerniške diode, s katerimi usmernik za nekaj milijonov voltov že lahko zgradijo. Prednost Tandetrona je predvsem v tem, da nima nobenih gibljivih delov, ki bi lahko vibrirali, kar zmanjša nezaželene vplive in zelo izboljša kvaliteto ionskega curka. 5. Žarkovne cevi Na izhodu iz pospeševalnika je preklopni magnet, s katerim ione z izbranim razmerjem med nabojem in maso speljemo v eno izmed žarkovnih cevi. Pri nas jih je možno postaviti pet, pri kotih -30°, -10°, 0°, +10° in +30°, od katerih smo zgradili že dve. Pospešene ione z znano energijo torej usmerimo v eno izmed vakuumskih merilnih celic, ki se nahajajo na koncu žarkovnih cevi, kot podaljšek pospeševalnikovega vakuumskega sistema. V merilno celico vstavimo vzorce, ki jih želimo analizirati. Ker z metodami, ki temelje na uporabi ionov, običajno merimo različna sevanja hkrati, zasnova takih celic ni enostavna, saj morajo imeti vrsto nastavkov za različne detektorje. Tudi za rokovanje z vzorci v celici potrebujemo zunanja vodila, kar zadevo še nekoliko zaplete. Seveda pa zmoremo take celice narediti sami ali pa v sodelovanju z visoko usposobljenimi domačimi inštituti (IEVT, ITPO, IKMT) in ravno tu je priložnost, da uporabimo domača znanja in izkušnje. V posebnih izvedbah lahko ione skozi tanko okno speljemo iz pospeševalnika in vzorce obsevamo v zraku ali v helijevi atmosferi pri normalnem tlaku. To rešitev imenujemo postavitev z zunanjim žarkom in je prikladna povsod tam, kjer želimo meriti vzorce, ki jih zaradi 50 In formacije MIDEM 28(1998)1, Ljubljana velikosti ali agregatnega stanja (tekočina) ne moremo postaviti v vakuumski sistem pospeševalnika. Ioni imajo zaradi svojega naboja to prednost, da jih lahko usmerjamo z elektromagnetnimi polji. Tako lahko curek ionov s pomočjo magnetnih ali elektrostatskih kavdrupolnih leč podobno kot svetlobnega z optičnimi, prostorsko omejimo. Zadošča že kvadrupolni dublet, to je sestav dveh kavdrupolnih leč od katerih vsaka v eni smeri fokusira, v drugi pa defokusira. S tripletom, ki je zloženka treh kvadrupolnih leč, pa lahko curek le še izboljšamo Najmanjši fokus, ki ga z ioni še zmoremo doseči, je okrog 0.1 jim. To rešitev imenujemo jedrska mikrosonda in je še prav posebej koristna pri raziskavah celic in tkiv in pa seveda pri študiju mikroelektronskih elementov. 6. Kakšne spektroskopske metode uporabljamo Spektroskopske metode z ioni temelje na uporabi sevanj, ki se vzbudijo pri interakciji ionov z atomi v vzorcu (Slika 3.). Ioni se v vzorcu zaradi trkov z atomi ustavljajo, pri čemer atomom predajo nekaj svoje energije. Zaradi prejete energije ti izsevajo svetlobo ali elektrone. toni se lahko tudi prožno odbijejo od atomskih jeder. Če pa imajo dovolj energije, lahko povzroče jedrske reakcije. Kot vidimo, imamo ob interakciji ionov s snovjo na razpolago res bogato paleto različnih sevanj, ki nam o vzorcu marsikaj povedo. Merskim metodam, ki temelje na uporabi pospešenih ionov, v stroki obesimo oznako IBA metode ("ion beam analysis"). Vsem je skupna uporaba pospešenih ionov in pri skoraj vseh od njih lahko interakcija ionov z atomi vzorca služi kot analitsko orodje. V nadaljevanju bomo za ilustracijo podrobneje opisali nekaj izmed njih, večinoma tistih, ki smo jih dosedaj usposobili ob delu na VDG pospeševalniku. 6.1. Protonsko vzbujena rentgenska fluorescenca (Proton Induced X-ray Spectroscopy - PIXE) Tu kot projektile, s katerimi obstreljujemo vzorec, največkrat uporabljamo protone z energijami 2 do 3 MeV. Ko se ti v snovi ustavljajo, vzbujajo in ionizirajo tarčne atome. Elektroni iz zunanjih atomskih lupin zelo hitro zapolnijo nastale vrzeli v notranjih lupinah. Pri tem se izsevajo rentgenski žarki, ki so karakteristični za atome, prisotne v vzorcu. Porazdelitev izsevanih rentgenskih žarkov po njihovi energiji, tako imenovani energijski spekter, izmerimo z visokoločljivostnim rentgenskim spektrometrom. Najpogosteje se danes uporabljajo polvodniški detektorji, saj so zelo občutljivi in natančni. Iz energijskih spektrov ugotovimo, koliko posameznega elementa je v vzorcu. Meritev nam torej omogoča, da elemente v vzorcu razpoznamo ter določimo njihove koncentracije. Metoda je dovolj občutljiva, da zaznamo nanogramske količine elementa v majhnem, le nekaj mg težkem vzorcu. Z vnaprejšnjo kemijsko pripravo vzorca pa lahko izmerimo celo do tisočkrat manjše koncentracije. Metoda PIXE omogoča detekcijo vseh elementov periodnega sistema, težjih od kisika in to vseh istočasno. To pomeni, daje cena analize za kemijski element zelo konkurenčna v primerjavi z drugimi metodami. Kot že rečeno, obstajajo različne postavitve, kjer metodo PIXE lahko uporabimo. V običajni izvedbi s proton-skim curkom, ki ima presek do nekaj mm2, vzorec obsevamo v vakuumu. Kadar pa uporabljamo zunanji žarek, je curek podoben, le da vzorec obstreljujemo pri normalnem tlaku. Še posebej privlačna je rešitev z jedrsko mikrosondo, kjer se s curkom, manjšim od 1 |im2, sum Slika 3.: Spektroskopske metode, ki so oziroma bodo na razpolago na žarkovnih ceveh pri pospeševalniku. Kratice nadomeščajo: PIXE - protonsko vzbujena rentgenska fluorescenca, RBS - povratno sipanje projek-tilov, ERDA - analiza prožno odrinjenih jeder, STIM - presevna ionska mikroskopija, NRA - analiza jedrskih reakcijskih produktov, IBIC-metoda ionsko vzbujenih nabojev, IL - metoda ionske lumeniscence. 51 In formacije MIDEM 28(1998)1, Ljubljana sprehajamo po vzorcu in določamo krajevno porazdelitev elementov. Področja, kjer metoda PIXE pokaže vse svoje prednosti, so analize onesnaženosti okolja, biomedicinske študije, raziskave novih materialov. Zaradi njene specifičnosti, ki je v tem, da imajo vpadni projektih le majhen doseg v vzorcu (nekaj deset p), so njene dodatne prednosti povsod tam, kjer imamo opravka s površinskimi nanosi. 6.2. Povratno sipanje projektilov (Rutherford Backscattering Spectrometry - RBS) in Analiza prožno odrinjenih jeder (Elastic Recoil Detection Analysis - ERDA) Ti dve metodi sta si v nekem smislu podobni, saj temeljita na prožnem sipanju projektilov in tarčnih jeder. Pri razdaljah, ki so velike v primerjavi z dosegom jedrskih sil, k prožnem sipanju največ prispeva elektro-statski odboj med projektilom in jedrom. Če odboj obravnavamo kot prožen, lahko kinematiko zapišemo podobno kot pri biljardnih kroglicah. S tem ko merimo energijski porazdelitvi sipanih projektilov in odrinjenih tarčnih jeder, lahko zopet ugotavljamo sestavo vzorca. Metodi RBS in ERDA sta, za razliko od PIXE, primernejši za določanje koncentracij lahkih elementov, vendar pa nista tako občutljivi kot PIXE. Razlika med obema pa je v tem, da z RBS merimo elemente, ki so težji od projek-tila, z ERDA pa lažje. Če imamo kot projektile He ione, bomo z RBS določali elemente od Li navzgor, z ERDA pa vodik in njegove izotope. Prav ERDA se v zadnjem času zelo uveljavlja, kajti vsebnost vodika v materialih ter njegova afiniteta do adsorpcije na površini sta s stališča razvoja nekaterih modernih tehnologij zelo pomemben podatek. RBS in ERDA sta zelo prikladni pri meritvah globinskih profilov elementov na površini vzorca. Globinska ločjivost, ki jo omogočata, je pod 10 nm. Globina, do katere lahko analiziramo, pa je določena z dosegom projektilov v vzorcu. Metodi RBS in ERDA sta torej primerni za študije tankih plasti, površin, še posebej s stališča korozijskih in oksi-dacijskih poškodb, za preiskave elektronskih elementov in analize novih materialov. V našem laboratoriju metodo RBS večinoma uporabljamo za potrebe raziskovalcev, ki se ukvarjajo s tankimi plastmi in površinami. Vzporedno razvijamo tudi metodo ERDA, ki je na razpolago za meritve vodikovih globinskih profilov. 6.3. Analiza jedrskih reakcijskih produktov (Nuclear Reaction Analysis - NRA) Če ima projektil dovolj veliko energijo (nekaj MeV in več), z veliko verjetnostjo prodre v atomsko jedro. Pri tem izmenja svojo kinetično energijo z nukleoni, kar pomeni, da se jedro znajde v vzbujenem stanju. Če so izpolnjeni pogoji za jedrsko reakcijo, jedro v zelo kratkem času razpade. Način, kako razpade, je močno odvisen od udeležencev pri reakciji in njihove medsebojne energije. Pogosto se pri razpadu izsevajo manjša jedra ali posamezni nukleoni, preostanek energije pa odnesejo žarki cjama, pa tudi leptoni (elektroni, pozi-troni, nevtrini). Ce torej merimo razpadne produkte, ki so običajno lahki delci oziroma žarki gama, si obogatimo vedenje o obsevanem vzorcu. Za nekatere jedrske reakcije velja, da je verjetnost za njihovo vzbujanje velika, če imajo projektili ostro določeno energijo. Go- vorimo o resonančnih reakcijah. V takih primerih je metoda NRA še posebej občutljiva, saj lahko zelo uspešno določamo vsebnost in globinski profil nekaterih lahkih elementov - vodika, bora, dušika, fluora, itd. Metodo NRA bomo torej potrebovali, kadar želimo z veliko občutljivostjo določati koncentracije in globinske profile nekaterih lahkih elementov. Kot lep primer njene uporabe lahko navedemo, da koncentracijske profile vodika določajo z reakcijo p(F, ay)0, pri kateri z ioni fluora obstreljujejo vzorec v katerem želijo izmeriti vodikov koncentracijski profil. Globinska ločljivost, ki jo na ta način lahko dosežejo, je okrog 1 nm. 6.4. Metoda ionsko vzbujenih nabojev (Ion Beam In- duced Charge - IBIC) Vtem primeru potrebujemo jedrsko mikrosondo in zelo majhne protonske tokove, reda velikosti nekaj 1000 ionov v sekundi. Opravka imamo torej s tokovi manjšimi od enega femtoamperja (femto = 10"15). Zaradi ioni-zacije pri ustavljanju protonov v snovi nastanejo ionski pari. Če na vzorec priključimo električno napetost, jih lahko zberemo in merimo nastale tokove. Krajevna ločljivost je določena z dimenizijami curka, s katerim plešemo po vzorcu. Kot že rečeno, pa morajo biti tokovi zelo majhni, da vzorca ne poškodujemo. Metoda je zelo primerna za preiskave mikroelektronskih vezij. Sproščeni naboj je namreč odvisen od lastnosti vezja, še posebej od njegovih detajlov, kot so lege aktivnih spojev in podobno. Metoda IBIC torej omogoča zelo natančno ugotavljanje eventuelnih poškodb pri izdelavi vezja oziroma napak pri njegovem načrtovanju. 6.5. Presevna ionska mikroskopija (Scanning trans- mission ion microscopy - STIM) Tudi v tem primeru potrebujemo jedrsko mikrosondo. Zelo tanke vzorce (manj kot 50 |im), ki so prepustni za ionski curek energij nekj MeV, obsevamo tako, da se s curkom sprehajamo po njegovi površini. Podobno kot pri IBIC metodi, mora biti tok v curku majhen. To zato, da ne poškodujemo vzorca, pa tudi, da ne uničimo detektorja, ki se v tem primeru nahaja za vzorcem. Z detektorjem merimo, za koliko se spemeni energija vpadnih projektilov pri prehodu skozi vzorec, pri čemer je sprememba odvisna od elementne sestave vzorca na obsevanem mestu. Rezulat meritve je torej zemljevid, ki ustreza krajevni porazdelitvi elementov v vzorcu. Krajevna ločljivost je določena s presekom curka in je za dobro jedrsko mikrosondo blizu 0.1 jim. Metoda STIM se je zelo izkazala pri študijah biomedicinskih vzorcev ter mikroelektronskih vezij. 6.6. Ostale metode Poleg že omenjenih, jih je na razpolago še nekaj. Pri njih izkoriščamo v vzorcu vzbujeno vidno svetlobo - metoda ionske lumeniscence (Ion Lumeniscence Spectrometry - IL), ali pa izsevane elektrone - metoda sekundarnih elektronov (Secondary Electron Analysis - SEA) ter metoda Augerjevih elektronov (Auger Electron Spectroscopy - AES). Tudi te se lahko uporabljajo v kombinaciji z jedrsko mikrosondo, zadnji dve pa sta skoraj nenadomestljivi pri študiju površin. 52 In formacije MIDEM 28(1998)1, Ljubljana 7. Na katerih področjih so IBA metode še posebej uspešne Naštejmo le nekaj raziskovalnih področij, kjer so spektroskopske metode z ioni že zelo uveljavljene: FIZIKA: - v atomski fiziki pri študijah pojavov, kjer raziskujemo atom kot sistem velikega števila med seboj sodelujočih delcev, - pri fiziki površin, korozijskih pojavov in tankih plasti, kot občutljivo orodje za določanje elementnih globinskih profilov. KEMIJA in TEHNIŠKA KEMIJA: - kot analitski pripomoček za določanje sestave snovi ter kemijskih vezavnih stanj. MEDICINA: - ob uporabi jedrske mikrosonde kot analitsko orodje pri študijah vplivov kemijskih elementov na procese v organizmih, - v javnem zdravstvu ter na področju varstva pri delu, za študij vplivov toksičnih elementov na zdravje. GEOLOGIJA: - za meritve vsebnosti slednih elementov, ki so pomembni pri razumevanju geoloških procesov. ENERGETIKA: - na področju goriv, predvsem za analize, ki so pomembne s stališča varstva okolja, - pri študijah, ki omogočajo bolj natančnen vpogled v gorivne procese. MATERIALI: - zaradi velike občutljivosti metod, kot zelo primerno orodje za določanje sestave materialov, - pri preiskavah zlitin ter študijah metalurških procesov. ELEKTRONSKE KOMPONENTE IN TEHNOLOGIJE: - predvsem pri analizah materialov za elektronske komponente ter v mlkroelektroniki. VARSTVO OKOLJA: - pri globalnih študijah atmosfere ter pri varstvu ozračja, tal in voda. ARHEOMETRIJA IN RESTAVRATORSTVO: - na področju arheometrije, kot orodje za določanje starosti in izvora arheoloških predmetov, - pri restavratorstvu, kot orodje, ki omogoča bolj strokovne odločitve pred restavratorskimi posegi. 8. Kaj si od novega pospeševalnika lahko obetamo Brez dvoma lahko ugotovimo, da gre za dokajšnjo obogatitev raziskovalne opreme, ki bo tudi doma omogočila temeljne raziskave na področju atomske in molekularne fizike ter predstavljala dobro eksperimentalno bazo za razširitev mednarodnega sodelovanja. Po drugi strani pa bo oprema nudila možnosti za vrsto uporabnih raziskav, ki smo jih omenili v prejšnjem razdelku. Ker naj bi bil nastajajoči mikroanalitski center opremljen vrhunsko in kvalitetno, seveda v okviru možnosti, ki jih imamo, menimo, da je taka raziskovalna oprema lahko zelo privlačna za vzpodbuditev sodelovanja v okolici. Tu imamo v mislih bližino znanih univerzitetnih središč in inštitutov v sosednjih mestih - Padova, Trst, Graz, Linz, Dunaj, Budimpešta, Debrecen, Zagreb. Širše mednarodno sodelovanje pa si zaenkrat zamišljamo predvsem v okviru IAEA na Dunaju, kateri smo ponudili naše usluge pri organizaciji mednarodnih tečajev ter pri usposabljanju raziskovalcev iz držav v razvoju. Seveda imamo mednarodno sodelovanje vzpostavljeno že sedaj, saj smo vključeni v nekatere projekte evropske skupnosti (COST, COPERNICUS) ter Imamo sklenjene dogovore z vrsto inštitutov iz evropskih in nekaterih izvenevropskih držav. Veliko seveda pričakujemo od domače industrije, saj so nekatera podjetja svoj interes že izrazila. Razvojnike iz industrije torej vabimo k skupnemu reševanju izbranih strokovnih problemov z metodami, ki jih imamo na razpolago. Takšno skupno delo ima lahko tudi mnogo sinergetičnlh učinkov. Po eni strani gre za izmenjavo strokovnih znanj in izkušenj, za soočenje torej z dejanskimi problemi, ki se pojavljajo v praksi. Po drugi strani takšno sodelovanje prispeva k dvigu kvalitete uporabnih raziskav s prenosom metod in principov, ki so v navadi pri temeljnem raziskovanju. Izkušnje in znanja, ki se na ta način izmenjajo, so prav gotovo zelo pomembni pri marsikaterih strokovnih presojah v industriji. Odločitev, da gradimo pospeševalnik doma, je smiselna tudi s stališča izobraževanja. Delo pri takšni napravi spodbuja teamski pristop in združuje vsebine, ki se tičejo razvoja novih tehnologij. Znanja, ki pri tem nastajajo, nudijo široko izobraževalno osnovo, ne samo za naravoslovno in tehnično stroko, temveč tudi širše. Ko že govorimo o uporabi nizkoenergijskih pospe-ševalnikov za raziskave in razvoj, ni odveč omeniti njihovo povsem praktično uporabnost. V več kot polovici primerov v svetu so namenjeni medicini za proizvodnjo izotopov ter terapevtiko. Precej jih zgradijo za neposredno uporabo v industriji, kot na primer - spreminjanje lastnosti materialov, sterilizacijo prehrambenih proizvodov in medicinske opreme, varovanje okolja, itd. Znano je, da radiacijske spremembe, ki jih s pomočjo pospešenih delcev iz nizkoenergijskega pospeševalnika povzročimo v snovi, zelo izboljšajo lastnosti nekaterih izdelkov. Z implantacljo ionov v polvodniške materiale, oblikujemo njihove električne lastnosti mnogo bolj natančno, kot z običajnimi kemijskimi postopki. Različnih uporab je torej veliko in njihovo število v svetu narašča. Lep primer je Japonska, kjer je v obratovanju okrog 1000 nizkoenergijskih pospeševalnikov. Približno polovica služi terapiji in proizvodnji izotopov, okrog 10 je v uporabi za medicinsko diagnostiko, blizu 300 za radiacijsko obdelavo materialov, nad 50 za neporušne preiskave industrijskih izdelkov in okrog 100 za implan-tacijo ionov. Če si zamislimo, da bomo tudi v Sloveniji kdaj v prihodnosti uporabljali vsaj kakšno od takih naprav, je investicija v Tandetron toliko bolj upravičena. Samo delo pri pospeševalniku, pa tudi skupno reševanje izbranih raziskovalnih problemov z IBA metodami, lahko nudi zelo dobro izobrazbo za strokovnjake, ki bodo morda kasneje pospeševalnlke uporabljali v industriji. Nudi nove izobraževalne vsebine za študijske programe ter prispeva k informiranju javnosti o neškodljivi uporabi jedrskih tehnologij. Omogoča poglobljene mednarodno zanimive raziskave. Za vse to pa je potrebno imeti opremo, ki takšno delo omogoča ter, kar je še najbolj pomembno, znanje in ljudi. 53 In formacije MIDEM 28(1998)1, Ljubljana PREDSTAVLJAMO PODJETJE Z NASLOVNICE REPRESENT OF COMPANY FROM FRONT PAGE Jožef Stefan Institute 9M& • • . « Jo?ef Stefan Institute Department of Ceramics jamova c. 39 SMOOi Ljubljana Tel.:+386 61 1773 900 Fax.:+386 61 1263 126 The HAS process exploits the thermally activated/accelerated hydrolysis of AIN powder added to a concentrated aqueous slurry of a host ceramic powder. The slurry can be cast or injected into a nonporous mould where it solidifies due to the partial consumption of water, gelling of the AI(OH)3 formed and a change in pH. Advantages: • high strength of green bodies allowing green machining and fabrication of thin walled shapes. (AI(OH)3 gel forms) • lower drying shrinkage (volume expansion accompanying AIN ~> AI(OH)3 transformation). • environmental and economic benefits (water is used as a solvent, no binder removal, only drying of the residual water) Applications: • smaller parts at higher production rates (HAS) • larger parts at lower production rates (HAS) » very thin walled parts (HAS / HAD) 100 90 80 » S 70 È 60 t 50 0 1 40 51 30 20 10 aqueous A!203 slurry 55 vol. %. - without AIN ■- wthAIN slurry / solid -+- 20 30 40 50 60 70 Temperature (*C) Process flow chart Water Dispersant Preheated impermeable mold Impermeable Permeable mold at RT riwld at RT 54 In formacije MIDEM 28(1998)1, Ljubljana POROČILA - REPORTS SEMICON® Europa 98 March 31 - April 2 Palexpo Geneva, Switzerland Semicon Europa 98 združuje mednarodno razstavo in konferenco s področja polprevodniških naprav ter materialov v Evropi. Zato je osnovni informacijski vir za ljudi iz mikroelektronske industrije. Prav tako kot prejšnja leta je tudi ta dogodek organizirala mednarodna organizacija SEMI (Semiconductor Equipment and Material International), za katero stoji velika mikroelektronska industrija s 65 milijardnim kapitalom. Dodatne informacije o aktivnostih SEMI si lahko ogledate tudi na straneh Interneta na strani http://www.semi.org. Tokrat je razstavljalo preko 900 razstavljavcev iz celega sveta na več kot 1600 razstavnih mestih. Razkošna razstavna mesta so poleg ogleda najnovejših dosežkov na področju naprav in materialov nudila tudi popolno tehnično in tržno informacijo o izdelkih, saj je bila poleg strokovno usposobljenih prodajalcev podprta še s teoretičnim ekspertnim osebjem. Kot vsako leto, je SEMI poleg razstave organiziral še zelo kvalitetne tehnične konference, poslovno/tržne programe, mednarodna srečanja o standardih in že drugi vse-industrijski forum: "Postavitev novih ciljev za globalno sodelovanje", ki bo verjetno, tako kot prvi forum na to temo iz leta 1994, imel velik vpliv na celotno industrijo. Tehnični programi, ki so se odvijali v obliki forumov in okroglih miz, so se dotaknili trenutno ključnih vprašanj v izdelavi integriranih vezij: • Drugi letni program na temo produktivnosti, ki je poskušal odgovoriti na sledeče vprašanje: Kaj naredi neko podjetje uspešno? • Prihodnji trendi v mikrolitografiji, kjer so vodilni evropski izdelovalci naprav in elementov pokazali litograf-ske tehnike za naslednjo generacijo sub-mikronsklh izdelkov. • Na okrogli mizi na temo o ravnih zaslonih (FPD) je bil glavni poudarek na materialih, napravah in različnih tehnologijah za izdelavo zaslonov iz tekočih kristalov. « Avtomatizacija v proizvodnji in miniaturizacija dimenzij kot izziv za elektronsko pakiranje. • Kemijsko mehansko poliranje (CMP), ki ni bilo predstavljeno samo kot alternativna planarizacijska metoda, temveč tudi kot nujni proces za četrt-mikronsko tehnologijo. • Že peta evropska strateška okrogla miza o mikro-sistemih (MST) je obravnavala štiri ključna vprašanja: poslovne možnosti mikrosistemov, industrijske potrebe, cenovni dostop do MST/MEMS in novi inova-tivni proizvodi. • Na temo 300mm silicij sta potekala kar dva foruma. Prvi je poskušal odgovoriti na vprašanje o 300 mm siliciju kot izzivu podjetjem za leto 2000. Drugi forum pa je predstavil trenutno stanje proizvajalcev 300 mm silicijevih rezin in možnosti njihove uporabe v 0.18 p tehnologiji. Poslovno/tržni programi so tudi ponujali pestro izbiro tem. Tako je potekal dvodnevni tečaj, ki je obravnaval polprevodniško procesno tehnologijo. Skupina proizvajalcev kemikalij in plinov je predstavila posebno tržno statistiko za kemikalije in pline ter njihov vpliv na okolje, zdravje in varnost, čisto poslovno/tržnega značaja so bili programi, ki so govorili o razumevanju in uporabi cene lastništva (Cost of Ownership), o uspešnem uvajanju novih proizvodov, o pregledu materialov in naprav na tržišču. Obiskovalcem so bile tudi letos na voljo brezplačne brošure s seznami in naslovi vseh udeleženih razstavljavcev po abecednem redu, kot tudi po panogah oziroma proizvodih. Brošuro si lahko izposodite v Laboratoriju za elektronske elemente, Fakultete za elektrotehniko (soba 202). Semicon Europa 99 se naslednje leto seli v Nemčijo, v prestolnico Bavarske, Murichen. Razstava se bo odvijala na novem razstavnem prostoru in sicer od 13.-15. aprila. Danilo Vrtačnik Laboratorij za elektronske elemente Fakulteta za elektrotehniko Tržaška 25 1000 Ljubljana Tel. 1768 303; Fax. 1264 630 E-mail: danilov@fe. uni-lj.si 55 In formacije MIDEM 28(1998)1, Ljubljana PRIKAZ MAGISTRSKIH DEL IN DOKTORATOV V LETU 1997 M. S. and Ph. D. ABSTRACTS, YEAR 1997 MAGISTRSKA DELA Naslov naloge: Modulacije pri direktnih AC/AC pretvornikih Avtor: Bojan Dobaj, dip.inž.el. Mentor: izr. prof. dr. Miro Milanovič Komentor: red. prof. dr. Karel Jezernik Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko V delu je prikazan direktni - matrični trifazni AC/AC pretvornik, s katerim je možno iz vhodnih trifaznih napetosti generirati oz. sintetizirati izhodne napetosti sinusne oblike, spremenljive amplitude in frekvence. Pri tem je lahko izhodna frekvenca tudi višja od vhodne. To je mogoče doseči z ustrezno modulacijsko strategijo. Opisani stat. i. simetrična in asimetrična pulzno-širinska modulacija. Matematično je dokazano, da kombinacija obeh modulacij omogoča delovanje direktnega AC/AC pretvornika z vhodnim faktorjem moči ena ne glede na breme. Teoretični rezultat je potrjen s simulacijami in tudi praktičnim eksperimentom. Delo ponazarja kronološko pot od teoretičnih rezultatov prek simulacij do laboratorijskega eksperimenta. Prikazana je uporaba stikalnih funkcij pri analitični obravnavi splošnega matričnega pretvornika kot tudi direktnega AC/AC pretvornika. Izpeljani modulaciji sta razširjeni z uvedbo regulacije izhodnih napetosti. Razložene so fizikalne omejitve direktnega AC/AC pretvornika in razširjena modulacijska strategija, ki omogoča maksimalno izhodno napetost (0,866 amplitude vhodnih napetosti). Izpeljani matematični model je uporabljen v simulacijah. Raziskani so vplivi vhodnega LC filtra na delovanje direktnega pretvornika in na vhodni faktor moči. Praktična realizacija laboratorijskega eksperimenta je podrobneje opisana. Posebej je obravnavana realizacija dvosmernih stikal, prožilne stopnje in mikroprocesorske izvedbe matematično izpeljane pulzno-širinske modulacije. Naslov naloge: Unipolarni resonančni pretvorniki Avtor: Igor Godec, dipl.inž.el. Mentor: izr. prof. dr. Miro Milanovič Komentor: red. prof. dr. Karel Jezernik Univerza v Mariboru, Fakulteta za elektrotehniko, računalništvo in informatiko Pretvorniki, ki pri svojem delovanju uporabljajo mehke preklope stikal, zagotovo spadajo med modernejše in racionalnejše pretvorniške strukture, katerih čas uveljavitve na trgu šele prihaja. Nekateri izmed teh pretvornikov zagotavljajo mehko delovanje s pomočjo pojava resonance in jih zato imenujemo resonančni pretvorniki. Unipolarni resonančni pretvorniki so samo podmnožica resonančnlh pretvornikov, ki v povezovalnem tokokrogu vzdržujejo unipolarno valovanje. V delu je prikazana teoretična podlaga in razvoj paralelnega unipolarnega resonančnega pretvornika. Iz analize bipolarnih resonančnih pretvornikov smo postavili ustrezne izhodiščne točke za razvoj novih pretvorniških struktur. Z uporabo teorema dualnosti, ki se je v elektrotehniki uveljavil kot izredno učinkovito orodje pri razvoju novih struktur, smo razvili unipolarni resonančni pretvornik. Podrobna analiza je pokazala, da unipolarni resonančni pretvornik ne samo dosega podobne rezultate kot jih dosega njegov dualni ekvivalent, temveč po določenih lastnostih celo prekaša strukturo, iz katere je nastal. Naslov naloge: Sistem izobraževanja na daljavo Avtor: Matevž Pustišek, dipl.ing. Mentor: prof. dr. Beno Pehani Univerza v Ljubljani, Fakulteta za elektrotehniko Izobraževanje na daljavo postaja področje, kjer se hitro približujeta in združujeta do nedavnega dve precej ločeni sferi. To je pedagoška sfera, vključno s tistim delom, ki je imel bogate izkušnje pri izvajanju izobraževanja na daljavo (z uporabo klasičnih metod) in praviloma bolj tehnološko naravnana sfera telekomunikacij in informatike. Omenjeno približevanje poteka hkrati iz obeh smeri. Tipične ovire, ki jih pri tem zaznamo, so odvisne od tega, na katerem polu se nahajamo. Za pedagoško sfero je pogosto značilno poenostavljeno gledanje na možnosti, ki jih omogoča tehnologija, lahko le-teh ne pozna ali za delo z njimi ni primerno usposobljena. Tako pride do odklonilnega odnosa do novosti. V takšnem primeru je uspešna uporaba novih tehnologij težko mogoča, tudi takrat, ko bi njihova vpeljava bistveno izboljšala utečene načine izobraževanja. Po drugi strani pa so akterji s tehničnim ozadjem pogosto nagnjeni k malikovanju tehnologije in pri tem pozabljajo, da mora ta služiti izpolnjevanju zahtev, ki jih postavljajo aplikacije in z njimi uporabniki, ne pa jih določati ali pogojevati. V uvodnem delu (Poglavje 2) je predstavljen razvoj in stanje na področju izobraževanja na daljavo. Razjasnjena je najpogosteje uporabljana terminologija, ključni zgodovinski mejniki in motivi za uvajanje izobraževanja na daljavo. Bistvena težava, s katero se v sedanjosti srečujejo tako tisti, ki poučujejo, kot tisti, ki se učijo, je eksponentna rast razpoložljivih (in za vsakdanje delo potrebnih) informacij. Razvoj celotne družbe pogojuje tudi spremembe v izobraževanja, ki se kažejo v potrebi po izobraževanju v trenutku, ko znanje potrebujemo, in dejstvu, da izobraževanje vse pogosteje postaja kontinuiran proces, ki traja človekovo celotno aktivno obdobje. Ti novi momenti se odražajo tudi v spremembi 56 In formacije MIDEM 28(1998)1, Ljubljana izobraževalne paradigme, ki se namesto na učitelje in izobraževalne institucije sedaj osredotoča na učečega. Uspešno izvajanje izobraževanja v spremenjenih in zaostrenih pogojih pa otežkočajo časovne, krajevne in finančne prepreke. V teh okoliščinah se kaže izobraževanje na daljavo kot možna alternativa ali dopolnitev klasičnih metod izobraževanja. Bistvene novosti k izvajanju pa dodajajo zmogljive in dostopne telekomunikacijske in informacijske storitve, ki ponujajo možnost za gradnjo učinkovitejših in uporabniku bolje prilagojenih sistemov. Z njimi sodobne metode izobraževanja na daljavo pridobivajo širšo veljavo in postajajo zanimive tudi v primerih, ko klasičnega izobraževanja na daljavo ni moč vpeljati. V nadaljevanju so predstavljena glavna načela, na katerih temelji izobraževanje na daljavo. Načela, kot je fleksibilen dostop do izobraževanja, samostojno učenje in samostojno upravljanje učenja, uporaba različnih medijev za posredovanje znanja in interaktivnost, so dovolj splošna, da so veljavna tako pri klasičnih izobraževalnih sistemih na daljavo kot v takih, ki temeljijo na najsodobnejših tehnologijah. Pri praktični implementaciji se načela odražajo v komponentah sistema za izobraževanju na daljavo. V te komponente so uvrščeni vsi procesi, ki se vršijo pri take vrste izobraževanju. Tudi delitev na komponente ima splošno veljavo, ki ni pogojena z načinom implementacije. Osnovne komponente sistema za izobraževanje na daljavo so: • infrastruktura, ki zajema organizacijo, kadre in tehnično infrastrukturo, • učenje, ki obsega različne medije in načine za podajanje znanja, • mehanizme za vrednotenje znanja, ki omogočajo vpogled v napredek in končno • raven znanja tako učečim kot izobraževalni instituciji in izvajalcem izobraževanja. • s fizično ločenostjo učečega in tistega, ki mu znanje posreduje, postane ključnega pomena podpora, ki so je med izobraževalnim procesom deležni učeči, • če pa izobraževanje nudimo kot tržno dobrino, vključuje sistem tudi aktivnosti trženja. Širok spekter storitev in aplikacij, ki so nam na voljo, se odraža domala v vseh komponentah sistemov za izobraževanje na daljavo. Bistveno za uspešno vključevanje tehnologije pa je upoštevanje načel in s tem specifičnih zahtev in pogojev, v katerih se takšno izobraževanje izvaja. Eden od namenov naloge je predstaviti možnosti, trende in bodoče tehnologije in storitve na področju telekomunikacij in informatike v luči uporabe pri izobraževanju na daljavo. Zato sledi podrobnejša analiza za izobraževanje na daljavo ključnih tehnologij (Poglavje 3). Kot bistvena se izkaže povezanost v različna komunikacijska omrežja, ki je nujna nadgradnja samostojnih računalniških sistemov. Različne mrežne aplikacije bistveno pripomorejo k izboljšani komunikaciji udeležencev izobraževanja. Ta lahko vključuje tako asinhrone načine povezovanja (elektronska pošta, sistem novic,...) kot tudi video ali podatkovne konference, ki potekajo v realnem času. Uporaba komuni- kacijskih aplikacij sega od komunikacije med učečim in tutorjem do simulacije učilnic z elektronskimi mediji. V omrežje povezani računalnik, kot standardno sredstvo za učenje in poučevanje, omogoča tudi nove pristope pri pripravi in podaji izobraževalnih gradiv. Prilagajanje v izobraževalnih aplikacijah štejemo med eno temeljnih inovativnih tehnik. Temelji na spoznanjih o človekovem učenju, že današnja tehnologija pa omo-goča implementacijo tovrstnih sistemov, ki predstavljajo očiten kvalitativen napredek v primerjavi s tiskanimi gradivi. Možnosti prilagajanja so predstavljene v poglavju 3.3.1. Na primerih prilagodljivih sistemov so predstavljena tudi širša vprašanja, povezana z mediatizacijo Izobraževalnih gradiv. Podobno se uveljavlja kot standardni sestavni del tehnološko podprtih izobraževalnih sistemov računalniško testiranje (Poglavje 3.3.2), ki je pogosto glavni vir podatkov za uravnavanje mehanizmov prilagajanja. S tehnološkimi rešitvami pa je učenju moč dodati tudi avtomatizirane in transpa-rentne mehanizme za vrednotenje znanja. Internet, kot način globalnega povezovanja in kot bogat nabor različnih aplikacij (Poglavje 3.1), ponuja tako možnosti komunikacije kot posredovanja izobraževalnih vsebin. Velika univerzalnost in razširjenost sta do-dami vodili, da čedalje več sodobnih sistemov za izobraževanje na daljavo vključuje rešitve, ki jih ta ponuja, nekateri pa temeljijo izključno na njih. Čeprav je že današnja raven informacijskih tehnologij in telekomunikacij povzročila na področju izobraževanja na daljavo ogromne spremembe, pa nekatere porajajoče se tehnologije obetajo še večje (Poglavje 3.4). To velja zlasti za koncept širokopasovnega omrežja integriranih storitev in glavne tehnologije, ki ta koncept omogočajo, razvoj na področju standardizacije protokolov Interneta z namenom zagotavljanja kvalitete prenosnih storitev in mobilnost uporabnikov. Telekomunikacijsko in informacijsko podprto izobraževanje je ena ključnih aplikacij prihajajoče informacijske družbe, zato bo nastopalo tudi kot tržna dobrina. Za vključevanje (Poglavje 4) tehnološko podprtega izobraževanja na daljavo v elektronsko poslovanje potrebujemo ustrezne nove načine tarifiranja in plačevanja telekomunikacijskih storitev in virov informacij. Oboje pa mora biti podprto z mehanizmi za varno izvajanje teh transakcij in ščitenje pravic uporabnikov in avtorjev vsebine. Naša spoznanja o zahtevah in značilnostih izobraževanja smo povezali s poznavanjem možnosti, ki jih nudijo sodobne informacijske tehnologije in telekomunikacije (Poglavje 3) in kot primer možne implementacije pripravili praktičen zgled sistema za izobraževanja na daljavo. Ta zgled ni ne edina ne dokončna alternativa, predstavlja pa možnost za uvajanje sodobnih metod izobraževanja na daljavo v realna okolja in njihovo praktično uporabo. Prav realne okoliščine in odzivi uporabnikov so najučinkovitejši način za pravilno usmerjanje nadaljnjih korakov razvoja. Sistem (Poglavje 5) deluje v mrežnem okolju. Izhodišče implementacije so med seboj povezane aplikacije Interneta, ki so prikrojene tako, da so odpravljene nekatere tipične pomanjkljivosti obstoječih aplikacij in podpirajo funkcije, ki so specifične In nujne za izo- 57 In formacije MIDEM 28(1998)1, Ljubljana braževanje na daljavo. Predstavljene so osnovne tehnologije, na katerih temelji. Namenjen je predvsem samostojnemu učenju. Učeči dostopajo do izobraževalnih vsebin, ki so sestavljene iz nizov HTML dokumentov in so nameščene na strežniku. Aplikacija WWW (Poglavje 3.1.2) in zapis HTML omogočata pripravo interaktivnih multimedijskih tečajev ob popolni integraciji v mrežno okolje. Delo učečih vključuje tudi sprotno samostojno preverjanje znanja in testiranje. Okolje, v katerem delajo uporabniki, je individualizirano, kar se kaže npr. v možnosti priprave lastnih elektronskih zabeležk ali poljubnem prekinjanju in ponovnem vračanju v izobraževalni tečaj. Pomembna razlika med informiranjem s pretežnim tokom informacij od vira k uporabniku in učenjem je v podpori, ki jo učečim zagotavljajo tutorji. Funkcionalno se ta kaže v možnostih komunikacije in spremljanja uspešnosti posameznikov in skupine udeležencev istega izobraževalnega tečaja. Posebna pozornost pri načrtovanju sistema je bila usmerjena v možnosti avtomatiziranega spremljanja dela posameznikov in skupine. Med samostojnim učenjem je moč ugotavljati deleže obiskanih strani tečaja, beležiti rezultate reševanja nalog in testov ter hraniti podrobne sezname vseh akcij, ki jih je uporabnik prožil v sistemu. Avtomatizirano spremljanje tako omogoča spremljanje aktivnosti uporabnika in skupine, lahko pa je tudi izhodišče za bolj kompleksne načine vrednotenja znanja. Različne interpretacije avtomatično beleženih podatkov so tako izhodišče povratnih informacij učečim kot tudi sestavljavcem vsebine o načinih dela uporabnikov, o uspešnosti pri pripravi izobraževanega tečaja in možnost za usmerjanje sprememb in dopolnil. Predstavljeni so problemi in izzivi, na katere smo naleteli pri snovanju sistema, ter spoznanja o njegovem delovanju in o potrebah in željah uporabnikov ter upravljal-cev ob uporabi trenutne inačice sistema. Na tem in na zamislih, ki v obstoječi inačici še niso bile implementirane, smo specificirali niz izboljšav in dopolnitev za večjo učinkovitost in funkcionalnost sistema. Naslov naloge: Elektromagnetna sevanja - viri, izpostavljenost, biološki učinki in ocena zdravstvenega tveganja Avtor: Peter Gajšek, dipl.ing. Mentor: prof. dr. Damijan Miklavčič Somentor: prof. dr. Joško Budin Univerza v Ljubljani, Fakulteta za elektrotehniko Magistrska naloga opisuje problematiko elektromagnetnih sevanj (EMS) v frekvenčnem območju 0-300 GHz, njihovo merjenje in možne biološke učinke obravnavanih elektromagnetnih sevanj na človeka in živali. Glavni poudarek je na posnetku stanja obremenitve naravnega in bivalnega okolja z EMS ter oceni zatečenega stanja glede na Uredbo o elektromagnetnih sevanjih, ki jo je izdalo Ministrstvo za okolje in prostor RS. V uvodu (prvo poglavje) je podana problematika elektromagnetnih sevanj, ki ji sledi opis celotnega spektra neionizirnih sevanj. V drugem poglavju so opisani znanstveno potrjeni mehanizmi interakcij EMS s človekom, ki jih glede na frekvenco lahko razdelimo na stimulacijo vzdražljivih tkiv in termični učinek. V celotnem frekvenčnem območju je opaziti tudi druge mehanizme interakcij, ki nastopijo pri zelo nizkih jakostih in ne povročajo draženja ali segrevanja tkiva. To še posebej velja za nizkofrekvenča EMS nmrežne frekvence 50 Hz in visokofrekvenčne signale amplitudno modulirane z ek-stremno nizkimi frekvencami (1-300 Hz). Na voljo je nekaj hipotez, s katerimi poskušam te mehanizme identificirati in pojasniti. Te hipoteze so večkrat pomanjkljive in nekatere celo v nasprotju z obstoječimi znanstvenimi izsledki. Tretje poglavje opisuje in vitro in in vivo biološke učinke v celotnem frekvenčnem območju EMS. Dopolnjuje ga četrto poglavje, ki podaja pregled nad opravljenimi epidemiološkimi raziskavami in raziskavami na prostovoljcih. Težišče naloge predstavlja peto poglavje, v katerem je podan pregled virov EMS, ki jim je človek lahko izpostavljen v bivalnem in delovnem okolju. Večina podatkov se nanaša na konkretne rezultate meritev v Sloveniji. Stanje obremenjenosti bivalnega okolja z EMS je pridobljeno s pomočjo obsežnih terenskih meritev, ki so potekale med junijem 1995 in septembrom 1996 na različnih lokacijah širom Slovenije. Rezultati so ločeno podani tako za nizkofrekvenčna kot za visokofrekvenčna EMS. Podane so tudi metode merjenja, uporabljena merilna oprema in izvedba posameznih meritev. V šestem poglavju so navedena izhodišča za mejne vrednosti, ki jih določajo mednarodna priporočila in standardi za zaščito ljudi pred EMS. Podan je pregled stanja na tem področju v Sloveniji ter ocena zdravstvenega tveganja glede na trenutno veljavno zakonodajo zaradi sevalnih obremenitev v bivalnem okolju v Sloveniji. V zaključku (sedmo poglavje) so podana tudi izhodišča za nadaljnje aktivnosti na področju bioloških učinkov in epidemioloških raziskav ter razvoj zaščitnih standardov. Naslov naloge: Merilnik gostote tekočine, prilagojen zahtevam uporabe v vinarstvu Avtor: Damjan Škvarč, dipl.ing. Mentor: prof. dr. Ljubo Pipan Univerza v Ljubljani, Fakulteta za računalništvo in informatiko Lomni količnikje razmerje med hitrostjo svetlobe v praznem prostoru in v dani snovi. Ker je značilen za posamezno snov, lahko z meritvijo tega parametra določimo koncentracijo raztopine. Vrednost lomnega količnikaje potrebno upoštevati tudi pri drugih meritvah, npr. pri meritvi hitrosti pretoka tekočin. V magistrskem 58 In formacije MIDEM 28(1998)1, Ljubljana delu je prikazan merilnik za merjenje lomnega količnika raztopin v realnem času. Merilnik deluje na podlagi merjenja kota popolnega odboja, ki nastopi pri prehodu svetlobe iz optično gostejše v optično redkejšo snov. Vrednost lomnega količnika je poleg vrste snovi odvisna tudi od temperature in valovne dolžine svetlobe, zato sta upoštevana tudi ta dva parametra. Ena glavnih nalog pri izdelavi merilnika je nizka cena, zato so uporabljene enostavne elektronske komponente. Uporaba cenenih in enostavnih komponent onemogoča izvedbo algoritmov za procesiranje slik signalov, ki se običajno uporabljajo. V delu je zato prikazan algoritem, ki je hitro izračunljivtudi s krmilnikom manjše procesne moči, čas izvajanja izračuna je tudi neodvisen od optične slike. DOKTORSKE DISERTACIJE Naslov doktorske disertacije: Vpliv inherentnih parazitnih pojavov na lastnosti unipolarnih integriranih vezij Avtor: Stanislav Ožbolt Mentor: prof. dr. Lojze Trontelj Univerza v Ljubljani, Fakulteta za elektrotehniko V predstavljenem delu obravnavamo inherentne para-zitne učinke, ki spremljajo generacijo sekundarnih nosilcev naboja v osiromašenem področju ponora srednjenapetostnih tranzistorjev MOS. Ti učinki so tako lokalnega kot globalnega značaja, v njih pa participirajo paraziti: tok podlage, upornost podlage in medsebojna upornost podlage. V raziskavi smo pozornost posvetili parazitnim učinkom tranzistorjev v podlagi-otoku pri močnih električnih poljih v osiromašeni plasti ponora. V prvem delu raziskave je poudarek na karakterizaciji navedenih parazitov. Z meritvijo upornosti podlag vrste N-kanalnih tranzistorjev, z izboljšano malosignalno merilno metodo, smo ugotovili odvisnost te upornosti tako od dolžine kanala kot od napetosti tranzistorskih elektrod. Na tem smo zasnovali zadovoljivo natančen psevdoempiričen analitičen model upornosti podlage tranzistorjev v otoku. Medsebojno upornost podlage smo raziskali le eksperimentalno. V ta namen smo priredili simbolični model medsebojne parazitne povezave med tranzistorji v skupni podlagi, v katerem je medsebojna upornost podlage parameter parazit-nega sklopa. Na osnovi simboločnega modela smo zasnovali metodo za ekstrakcijo te upornosti in na primeru dveh tranzistorjev pokazali, da je medsebojna upornost v podlagi-otoku znatna. V okviru karakteriza-cije toka podlage pri močnih električnih poljih v osiromašenem področju ponora smo modifikacirali model multiplikacijskega faktorja. Rezultat te modifikacije sta posebna izraza za opis toka podlage N- in P-kanalnega tranzistorja. Popravek karakteristik toka podlage v obeh primerih določa multiplikacijski faktor elektronov, ne glede na to, ali so le-ti primarnega tipa ali ne. Uporabnost modificiranih modelov multiplikacijskih faktorjev smo eksperimentalno potrdili na obeh tipih tranzistorjev. V drugem delu raziskave smo analizirali vpliv uvedenih modifikacij na degeneracijo karakteristik tranzistorjev. Korekcijo enačbe multiplikacijskega faktorja najbolj občuti diferencialna izhodna prevodnost, na ostale parametre pa korekcija deluje v mnogo manjši meri. S korekcijo se zmanjša izhodna prevodnost N-kanalnega tranzistorja, poveča pa P-kanalnega. Eksperimentalna verifikacija tega vpliva, ki smo jo opravili na karakteristikah razpoložljivega napetostnega ojačenja, je dodatno potrdila, da je upravičena uvedba ločenih multiplikacijskih faktorjev za N- in P-kanalne tranzistorje. Z modifikacijo teh modelov povečamo vrednosti izračunanih ojačenj N-kanalnih tranzistorjev, P-kanalnih pa zmanjšamo, vendar v obeh primerih izračunane vrednosti približamo k izmerjenim. Degeneracija malosignalnih parametrov, ki jo povzroča upornost podlage v kombinaciji s transkonduktanco z ozirom na podlago, je opazna le v režimu nasičenja. Stopnja degeneracije narašča s krajšanjem kanala in večanjem napetosti krmilne elektrode, ter pada z naraščanjem koncentracije nečistoč v podlagi, vendar počasneje kot upornost podlage. Globalni učinki generacije sekundarnih nosilcev naboja, ki se kažejo kot motnje med tranzistorji v skupni podlagi, so odvisni od transkonduktance z ozirom na podlago sprejemnega tranzistorja in padca napetosti, ki ga ustvarja tok podlage na medsebojni upornosti. S primerjavo vpliva tega padca napetosti na tok v kanalu sprejemnega tranzistorja pri različnih delovnih točkah, smo ugotovili, da so na motnje najbolj občutljivi tranzistorji, ko so jim delovne točke postavljene v režim šibkih tokov. Eksperimentalne raziskave so pokazale, da celo pri srednjemočnih tokovih v podlagi, motnje lahko presežejo lastni šum tranzistorjev. Naslov doktorske disertacije: Tehnologija za izdelavo analogno-digitalnih visokonapetostnih integriranih vezij Avtor: Iztok Šorli Mentor: prof. dr. Lojze Trontelj Univerza v Ljubljani, Fakulteta za elektrotehniko Pametna močnostna visokonapetostna integrirana vezja (SPIC/HVIC) nezadržno osvajajo trg elektronskih komponent s predvideno rastjo prodaje okoli 17% na leto. Tipična področja uporabe teh vezij so: - avtomobilska elektronika - računalniki in periferija - izdelki, napajani iz stalnih baterij - širokopotrošna elektronika - telekomunikacije Današnjim zahtevam elektronskih sistemov po digitalni, analogni, močnostni in visokonapetostni obdelavi signalov in krmiljenju lahko zadostijo le vezja, ki imajo večino teh funkcij integriranih na enem ali več čipih. Integracija elektronskega sistema na enem kosu silicija pomeni manjše končne fizične dimenzije sistema, nižji strošek izdelave, boljše lastnosti, večjo zanesljivost sistema ter večjo fleksibilnost pri načrtovanju elektronike. 59 In formacije MIDEM 28(1998)1, Ljubljana To za načrtovalca tehnologije pomeni velik izziv, saj mora znati izdelati obsežen nabor pasivnih in aktivnih komponent z optimiziranimi električnimi karakteristikami, s pomočjo katerih bo načrtovalec elektronike znal realizirati vse tiste funkcije, ki jih elektronski sistem zahteva. Seznam potrebnih komponent na siliciju, s katerimi je moč zadostiti zgornjim zahtevam, je naslednji: - Pasivne komponente: • upori z nizko vrednostjo plastne upornosti (im-plantirani, difuzijski, polisilicljevi) • upori z visoko vrednostjo plastne upornosti (im-plantlrani, polisilicijevi) • kondenzatorji s polisilicijevo ali kovinsko zgornjo elektrodo • kondenzatorji z dvojnima polisilicijevlma elektrodama • diode (n + p, p+n, np, pn, Zenerjeve) - Aktivne komponente: • NMOS in PMOS aktivni tranzistorji (standardni, substratni in izolirani) • bipolarni tranzistorji (vertikalni in lateralni, npn in pnp) • visokonapetostni tranzistorji (bipolarni, MOS, LDMOS, VDMOS) • različne spominske celice, pretvorniki in senzorji - po potrebi V disertaciji predstavljena BCD (B(ipolar) C(MOS) D (MOS)) tehnologija omogoča realizacijo zgoraj navedenih ciljev, saj na enem čipu lahko izdelamo komponente, ki so bile do nedavnega tipične samo za bipolarno, CMOS in diskretno DMOS tehnologijo. BCD tehnologija hkrati sinergijsko združuje pozitivne lastnosti vsake posamezne tehnologije v nekaj novega. Zastavil sem si nalogo, da v naših razmerah razvijem tako tehnologijo, ki bo temeljila na tistem, kar imamo, in ki bo še vedno dovolj fleksibilna, da bo zadoščala zahtevam sistemskih načrtovalcev. Zgodovinsko gledano imamo v Sloveniji znanje na področju načrtovanja in izdelave analogno digitalnih integriranih vezij v CMOS in BiCMOS tehnologijah. Oprema, s katero je razpolagala, oz. razpolaga slovenska mi-kroelektronika, omogoča izdelavo kritičnih dimenzij nad 1 |im, kar za izvedbo vezij, načrtanih v BCD tehnologiji, v tem trenutku popolnoma zadošča. Za osnovni proces sem izbral 3 |im CMOS tehnologijo z n otokom, ki že ponuja standarden nabor pasivnih in aktivnih komponent, ustrezen za načrtovanje analogno-digitalnih funkcij. • Razvil sem specifično CMOS tehnologijo z minimalno razsežnostjo 1.5 |im na istem substratu, ki omogoča izdelavo enakega nabora komponent kot osnovna 3 |im CMOS tehnologija. • Razvil sem nov pristop k izvedbi mešane BCD tehnologije, s katero učinkovito izdelamo veliko število gradnikov, potrebnih za izdelavo SPIC/HVIC integriranih vezij. Ta BCD tehnologija je dovolj fleksi- bilna in odprta, saj ji lahko po potrebi dodajamo nove korake, ki so potrebni za izdelavo novih gradnikov. • Vpeljal sem modularen pristop k izvedbi BCD tehnologije, ki omogoča uporabo: • zaporednih procesnih modulov • izoliranih procesnih modulov • Rezultat je možnost izdelave glavne komponente z optimiziranimi električnimi parametri in nekaj stranskih komponent, kar povečuje fleksibilnost BCD tehnologije. Električni parametri standardnih komponent se ne spremenijo, kar načrtovalcem še nadalje omogoča uporabo znanih knjižnic celic. • Razvil sem BCD tehnologijo, ki omogoča integracijo bipolarnih in visokonapetostnih struktur popolnoma neodvisno od ostalih CMOS komponent. • Primernost tako razvite BCD tehnologije sem demonstriral z izdelavo vezij za uporabo v telefoniji, katerih izpleni in zanesljivost so na zahtevani ravni. Na prej opisan način sem procesno in fizično ločil viskonapetostne od nizkonapetostnih komponent na vezju. Zato ta pristop omogoča integracijo visokonapetostnih komponent v katerikoli CMOS proces. Velja celo, da čim manjše so minimalne razsežnosti osnovnega CMOS procesa, tem lažje je vanj na ta način integrirati visokonapetostne komponente. To sem potrdil s prikazom rezultatov doseženih z integracijo visokonapetostnih in bipolarnih struktur v CMOS proces z n otokom in minimalno razsežnostjo 5 jim , 3 jim in 1.5 um. Čeprav BCD proces ponuja zavidljivo število komponent, ima do 22 mask in nad 150 procesnih korakov. Je še vedno fleksibilen, saj lahko dodajamo željene komponente po potrebi, oz. jih izpuščamo, če jih ne potrebujemo. Na testnem vezju, ki sem ga s sodelavci načrtal posebej za vrednotenje BCD tehnologije, sem meril vse pomembne električne parametre izdelanih komponent in jih nato vrednotil glede na procesne pogoje, strukturo in geometrijo komponente. Za medsebojno izolacijo nizkonapetostnih komponent in njihovo izolacijo od visokonapetostnih, sem uporabil tehniko spojne izolacije z izjemo visokonapetostnega substratnega NLDMOS tranzistorja, ki je samoizolirana struktura. Nadalje je, tako v substratni NLDMOS, kakor tudi v izolirani NLDMOS tranzistorski strukturi, uporabljena RESURF tehnika za doseganje čim višjih prebojnih in izolacijskih napetosti. Razvite visokonapetostne tranzistorske strukture vzdržijo širok spekter delovnih napetosti v območju od 3 V do 500 V in izolacijskih napetosti do 250 V. Predstavljena BCD tehnologija ni popolna. Bodoči smiselni koraki za izboljšavo obstoječih rezultatov in njeno nadgradnjo so: • načrtovanje procesnih modulov za doseganje prebojnih napetosti NLDMOS tranzistorjev do 1000 V in izolacijskih napetosti do 500 V z uporabo substratov z visoko specifično upornostjo, z nadaljnjo optimizacijo geometrije strukture in z uporabo nekaterih novih tranzistorskih struktur 60 In formacije MIDEM 28(1998)1, Ljubljana • dodajanje novih procesnih modulov za izdelavo novih komponent, na tretjem nivoju polisilicija (spominske celice, senzorske strukture, mikro-mehanika) Naslov doktorske disertacije: Analitična in numerična obravnava ter karakterizacija homogenih in nehomogenih struktur iz amorfnega silicija Avtor: Pavle Popovič Mentor: prof. dr. Jože Furlan Univerza v Ljubljani, Fakulteta za elektrotehniko Lastnosti mnogih polprevodniških materialov, ki se uporabljajo v industriji in tehniki, lahko opišemo z gostoto lokaliziranih stanj znotraj mobilnostne reže. Značilno za te materiale je, da so poleg gibljivih nosilcev naboja, ki jim pravimo prosti elektroni in vrzeli, prisotni še negibljivi nosilci naboja. To so elektroni in vrzeli, ki so ujeti v lokaliziranih stanjih in se zato ne morejo premikati in prispevati k toku, s svojim nabojem pa vplivajo na električno polje v materialu, strukturi oziroma elementu. Prosti nosilci naboja se lahko ujamejo v lokalizirana stanja, možen pa je tudi obraten proces, ko ujet nosilec preide v energijsko stanje, ki ni lokalizirano in postane tako prost. Razvili smo program za numerično analizo takih materialov in struktur iz teh materialov. Program rešuje sistem enačb za opis polprevodniških materialov, ki sestoji iz kontinuitetnih in transportnih enačb ter iz Pois-sonove enačbe. Omogoča numerično analizo v primerih, ko so krajevne odvisnosti zanemarljive in preučujemo lastnosti materiala samega, kot tudi struktur, kjer je ena dimenzija i dosti krajša od drugih dveh, tako da lahko upoštevamo le krajevne odvisnosti vzdolž te dimenzije. V obeh primerih program lahko izračuna tako stacionarno stanje kot tudi prehodni pojav. V doktorski disertaciji opišemo ta program. Podamo enačbe, ki jih rešuje, in opišemo metode za njihovo reševanje. Poudarek je na novostih in razširitvah programa, ki smo jih v program vnesli v obdobju po magisteriju. Jedro doktorske disertacije je obravnava treh konkretnih in za prakso pomembnih primerov. Material je v vseh treh primerih amorfni silicij, ki se uporablja za izdelavo sončnih celic, tankoplastnih zaslonov, senzorjev in drugih elementov. Najprej obravnavamo stacionarno fotoprevodnost v amorfnem siliciju. Na podlagi rezultatov izračunov podamo fizikalno sliko dogajanj v materialu v primeru termičnega ravnovesja in v primeru vzbujanja s svetlobo. Izpeljemo analitične izraze in približke, ki so v rabi za opis stacionarne fotoprevodnosti, in komentiramo njihovo uporabnost. Temno in fotoprevodnost tudi merimo v odvisnosti od nivoja osvetlitve in od temperature. Določimo nabor parametrov za računalniško simulacijo, ki daje odlično ujemanje izmerjenih in izračunanih vrednosti. Izračunamo tudi poteke koncentracij in veličin, ki meritvi niso dostopne. Sledi obravnava prehodnega pojava v amorfnem siliciju po izklopu osvetlitve. Na podlagi rezultatov izračunov podamo fizikalno sliko dogajanj v materialu posebej za čase neposredno po izklopu in posebej za daljše čase. Merimo prehodni pojav po izklopu osvetlitve pri različnih temperaturah. Opisana sta postavitev eksperimenta in merilni postopek. Rezultate merjenja primerjamo z rezultati numeričnega izračuna s tistimi parametri, ki smo jih določili s fitanjem meritev stacionarnih karakteristik. V širokem območju temperatur dobimo dobro ujemanje. Obravnavamo tudi odvisnost prehodnega pojava od temperature in od hitrosti svetlobnih generacij pred izklopom osvetlitve. Računanje prehodnih pojavov v enodimenzionalnih strukturah je najzahtevnejša vrsta analize, ki jo naš program omogoča. Obravnavamo prehodni pojav po vklopu napetosti v n-i-n in p-i-n strukturi. Podana je podrobna fizikalna slika dogajanj med prehodnim pojavom, ki je zaradi večje preglednosti razdeljen na štiri dele. V posameznih delih so podani rezultati izračunov, ki prikazujejo dogajanje znotraj strukture, ki meritvi ni dostopno. Prav tako so prikazani procesi ujetij in emisij nosilcev naboja na energijski osi. Primerjamo procese v obeh strukturah in razložimo razlike. Naslov doktorske disertacije: Prilagodljivi filtri s končnim odzivom v aritmetiki z nepomično vejico Avtor: Marijan Leban Mentor: prof. dr. Baldomir Zaje Somentor: prof. dr. Jurij Tasič Univerza v Ljubljani, Fakulteta za elektrotehniko Prilagodljivi filtri so zanimivi, ker jih je mogoče realizirati brez popolnega poznavanja signalov. Svoje koeficiente prilagajajo med samim delovanjem sistema, da bi dosegli optimalne rezultate. Prilagodljivi filtri se pogosto uporabljajo v sistemih s spremenljivimi vhodnimi signali. Vtelekomunikacijah se uporabljajo kot izločevalniki odbojev, izenačevalniki signalov ali pa kot prilagodljivi modulatorji. Uporabljajo se tudi pri procesiranju slik in za izločanje napajalnega šuma pri natančnih meritvah. Prilagodljivi filter je lahko realiziran kot računalniški program ali kot integrirano vezje, če se zahteva delovanje v realnem času. V cenenih izvedbah je potreben preprost in hiter algoritem prilagajanja. Eden od najbolj poznanih in razširjenih algoritmov je LMS algoritem, ki pa nima najboljše konvergence zaradi njegove enostavnosti. Za prilagodljive sisteme je pomembna stabilnost, zato se pogosto uporabljajo filtri s končnim odzivom (FIR) in ne filtri z neskončnim odzivom (UR), ki so manjši od filtrov s končnim odzivom, toda manj stabilni in težji za analizo. Dodaten problem pri izvedbi prilagodljivih filtrov v integriranih vezjih je kvantizacijska napaka. Vsaka vrednost, signal ali koeficient filtra je predstavljen s končnim številom bitov, kar povzroča dodatno napako. Zanimivo vprašanje za načrtovalce različnih filtrov je, kako bo kvantizacija vplivala na lastnosti filtra in če je mogoče optimizirati dolžino besed tako, da bosta površina in zakasnitev dobljenega filtra majhni ter da bo celotna napaka majhna. Namen disertacije, ki obravnava LMS prilagodljive filtre s končnim odzivom, je odgovoriti na ta vprašanja v največji možni meri. V disertaciji je najprej 61 In formacije MIDEM 28(1998)1, Ljubljana podana analiza LMS algoritma s poudarkom na koraku prilagajanja, ki kontrolira konvergenco in prilagojenost LMS algoritma. Analiza kvantizacije je narejena s stališča napake kakor tudi s stališča izvedbe filtra in je potem razširjena v izpeljavo srednje kvadratične napake za prilagodljive filtre s končnim odzivom v aritmetiki z nepomično vejico. Srednja kvadratična napaka je najpomembnejši del kriterijske funkcije pri optimizaciji dolžine besed v filtru, ki predstavlja zadnji del disertacije. Ker tradicionalne optimizacijske metode niso uporabne v tem primeru, je predlagana optimizacija z genetskim algoritmom. Genetski algoritmi so robustni in stabilni in skoraj v vseh primerih najdejo optimalno ali pa skoraj optimalno rešitev, karje bilo potrjeno z rezultati simulacij na primeru prilagodljivega izločevalnika odbojev. Naslov doktorske disertacije: Izločanje šuma iz govornih signalov z analognimi adaptivnimi filtri, izdelanimi v tehnologijo CMOS integriranih vezij Avtor: Stanislav Gruden Mentor: prof. dr. Baldomir Zaje Univerza v Ljubljani, Fakulteta za elektrotehniko Tehnologija CMOS je v prvi vrsti namenjena izdelavi digitalnih vezij. Lastnosti tranzistorjev in ostalih elementov, izdelanih v tej tehnologiji, omogočajo tudi izdelavo analognih vezij, s katerimi lahko obdelujemo zvezne ali vzorčene signale. Analogna obdelava signalov ima pred digitalno mnogo prednosti. Ne potrebujemo A/D, D/A pretvornikov in vezja urinega signala, porabljena površina na integriranem vezju je lahko zelo majhna. Zgornja frekvenčna meja delovanja je določena s paraz-itnimi elementi vezja. Poraba analognih vezij je zelo nizka, še posebno če tranzistorji delujejo v področju pod pragovno napetostjo. Zaradi nizke porabe in možnosti izdelave majhnih vezij, ki lahko delujejo samostojno, je analogna izvedba zelo primerna za prenosne naprave. Slabe lastnosti analognih vezij tehnologije CMOS so predvsem omejeno dinamično področje obdelovanih signalov, kar je posledica šuma elementov, omejen nabor funkcij, ki jih lahko realiziramo in napake, ki nastanejo zaradi netočnosti vrednosti izdelanih elementov. V tem delu so predstavljene nekatere možnosti izločanja motenj iz govornega signala s pomočjo analognih vezij tehnologije CMOS. Motene govorne signale dobimo v primerih, ko v hrupnih okoljih govorimo, telefoniramo, ali ko govor prenašamo preko slabih linij ali radijskih povezav. Šum zmanjšuje razločnost govora, v primerih samodejnega razpoznavanja govora pa lahko zelo poslabša zanesljivost sistema za razpoznavanje. Načrtovanje analognih vezij tehnologije CMOS zahteva dobro poznavanje obnašanja tranzistorjev, kondenzatorjev in ostalih osnovnih gradnikov. Pri načrtovanju uporabljamo znana vezja, kot so transkonduktančni ojačevalniki, analogni štirikvadrantni množilniki, tokovna zrcala. Potrebujemo tudi posebna vezja, na primer tokovna zrcala s spremenljivim tokovnim prestavnim razmerjem, tranzistor MOS, vezan kot dioda, velike vrednosti upornosti oziroma tuljave, realizirane z uporabo transkonduktančnih ojačevalnikov, itd. Ena od možnosti izločanja motilnega signala iz govora je uporaba algoritma LMS. Le-ta je bil prvotno uporabljen v digitalnih vezjih, možno pa gaje uporabiti tudi na zveznih signalih. Za delovanje algoritma potrebujemo referenčne signale, ki so v korelaciji z motnjami v vhodnem signalu. Algoritem zahteva za svojo realizacijo množenje izmeničnih signalov, ki ga lahko realiziramo s štirikvadrantim Gilbertovim množilnikom. Za obdelavo vsakega referenčnega signala potrebujemo dva množilnika. Vrednost uteži signala hranimo kot napetost na kondenzatorju. Algoritem LMS je zelo občutljiv na odmične vhodne napetosti oziroma odmične izhodne tokove in vezje brez kompenzacije le-teh ne deluje pravilno. Ker algoritem LMS ne zahteva neprekinjenega popravljanja vrednosti uteži, lahko občasno vklopimo posebno kompenzacijsko vezje. Algoritem LMS lahko analogno izvedemo na več načinov, od katerih ima vsak svoje dobre in svoje slabe lastnosti. Simulacijo vezja algoritma LMS smo izvedli s posebnim programom, ki omogoča simulacijo večjih sistemov kot AnaLOG ali SPICE. Drugi način za odstranjevanje šuma iz govornih signalov temelji na principu odštevanja frekvenčnih spektrov. Ko vhodni signal ne vsebuje govora, si v vezju zapomnemo model šuma oziroma njegov frekvenčni spekter. Ko se na vhodu pojavi tudi govorni signal, od njegovega frekvenčnega spektra odštejemo shranjeni frekvenčni spekter šuma. Signal, ki ga dobimo, ima teoretično frekvenčni spekter čistega govornega signala. To velja v primeru, ko se motilni signal s časom ne spreminja prehitro. Postopek ne zahteva nobenih dodatnih referenčnih vhodnih signalov. Vhodni signal je razdeljen na signale različnih frekvenčnih pasov s pa-sovnoprepustnimi filtri (banka filtrov), centralne frekvence teh filtrov so razporejene eksponentno. Od-jemanje modela šumnega signala poteka tako, da šumni signal za vsako frekvenco primerjamo s pomožnim signalom, pomnoženim z neko utežjo. Vrednost uteži se spreminja tako dolgo, da sta signala enaka po moči, oziroma kar po povprečni polvalno usmerjeni vrednosti signala, karje bolj preprosto za realizacijo. Pomožni signal moramo generirati v vezju. To je lahko na primer beli šum, še bolje pa je, če ga generiramo iz vhodnega signala. Odštevanje frekvenčnih spektrov je izvedeno tako, da za vsako frekvenco primerjamo vrednost signala iz banke filtrov z vsoto tega istega signala, pomnoženega s posebno utežjo in modela šuma za ta kanal. Omenjena utež, ki določa delež vhodnega v izhodnem signalu za dani frekvenčni pas, se spreminja, dokler ni izpolnjena enakost moči. Moč signala iz banke filtrov, pomnoženega z utežjo, je takrat ravno enaka razliki moči signala iz banke filtrov in modela šuma, torej je ta signal izhodni signal za dano frekvenco. Izhodni signal celotnega vezja predstavlja vsota izhodnih signalov za vse frekvence. Vezje je načrtano tako, daje razpoložljivo dinamično območje ojačevalnikov dobro izkoriščeno, istočasno pa je vpliv odmičnih vhodnih napetosti ojačevalnikov majhen tudi pri nizkih vhodnih signalih. 62 In formacije MIDEM 28(1998)1, Ljubljana Za delovanje algoritma moramo vedeti, ali je v danem trenutku na vhodu prisoten govorni signal, pomešan s šumom, ali samo šum. To funkcijo opravlja posebno vezje, ki primerja kratkoročno in dolgoročno povprečno vrednost polvalno usmerjenega signala. Ko je kratkoročno povprečje signala večje od dolgoročnega, pomnoženega z nastavljivim parametrom, vezje vhodni signal razpozna kot govor. Nekaj dodane histereze vezju prepreči nenadzorovano preklapljanje, ko sta obe povprečni vrednosti približno enaki. Za simulacijo delovanja algoritma za odštevanje frekvenčnih spektrov je uporabljen poseben program, s pomočjo katerega je bil algoritem preverjen na mnogih signalih, posnetih z mikrofonom. Rezultati simulacije delovanja algoritma LMS pokažejo, da je opisano vezje sposobno iz signala kvalitetno izločiti tudi motilne signale, ki so nekajkrat močnejši od koristnega signala. Vpliv neidealnosti vezja ni večji od vpliva neidealnosti, ki so lastne algoritmu LMS. Z algoritmom za odštevanje frekvenčnih spektrov je kvaliteta izločanja motilnega signala iz govora odvisna od točnosti določanja meje med šumom in govornim signalom. Postopek dobro izloči šume, ki so stacionarni in vsaj dvakrat šibkejši od koristnega signala. Naslov doktorske disertacije: Odziv visokotemperaturnih supraprevodnih mostičnih struktur na zunanje energijske motnje Avtor: Marko Zavrtanik Mentor: prof. dr. Aleš Stan ovni k Univerza v Ljubljani, Fakulteta za elektrotehniko V delu obravnavamo odziv visokotemperaturnih supraprevodnih mostičnih struktur na zunanje energijske motnje. Mostičke smo izdelali iz YBa2Cu307-5 filmov z metodo laserskega risanja, pri kateri pod vplivom zbranega laserskega žarka dosežemo difuzijo kisika iz oziroma v vzorec. Tako lahko pri laserskem risanju v inertni atmosferi dosežemo prehod iz supraprevodne YBa2Cu307 v izolatorsko YBa2Cu306 fazo, pri risanju v kisikovi atmosferi pa pride do ravno obratnega pojava. Izdelana naprava je omogočala risanje 1.8 ¡im širokih črt, za kar je bila zaslužna predvsem natančna konstrukcija optičnega sistema ter nastavljanje gorišča s povratno projekcijo. Učinek laserskega rezanja na YBa2Cu307-5 filme smo preverili z Ramansko spektroskopijo. Pri YBa2Cu307 vzorcih, obdelanih v inertni atmosferi, smo zasledili jasen premik fonona z energijo 505 cm""1 značilnega za YBa2Cu307, v fonon z energijo 460 cm""1, ki je lasten YBa2Cu306 vzorcem. Da je postopek laserskega risanja reverzibilen, smo potrdili z Ramansko spektroskopijo YBa2Cu306 vzorcev, obdelanih v kisikovi atmosferi. Rezultat je bil premik iz 460 cm"1 nazaj na 505 cm-1. Z mikroskopijo na atomsko silo smo tudi pokazali, da pri laserskem risanju ne pride do morfoloških sprememb snovi. Spremembe na površini vzorca so bile namreč opažene zgolj pri obdelavi s prevelikimi gostotami svetlobnega toka. Vsako izdelano strukturo smo okarakterizirali z meritvijo kritične temperature, širine prehoda in l-V karakteristik pri posameznih temperaturah. Slednja je omogočala rekonstrukcijo odvisnosti upornosti mostičkov od temperature pri različnih delovnih tokovih, ki je bila nujna za kasnejšno interpretacijo izmerjenih odzivov na energijske motnje. Te smo merili z električnim in optičnim merilnim sistemom Pri električnem načinu smo v mo-stičku vzpostavili konstanten enosmerni tok, odziv na motnjo pa smo opazovali kottranzientni padec napetosti. Za vzbujanje motenj smo uporabili akusto-optično moduliran Ar-ionski laser, ki je oddajal svetlobne sunke dolžine 200 ns v poljubni repeticiji ter Ti-safirjev laser z 160 fs sunki v 88 MHz repeticiji. Pri vzbujanju z Ar-ion-skim laserjem smo opazili, da je odziv mostične strukture sestavljen iz dela, ki verno sledi vzbujevalnemu sunku, in več deset |is trajajočega počasnega prispevka z značilnim prestopom na negativno stran napetostne lestvice, ki ga ne moremo pripisati zgolj učinku elektronskega čitalnega sistema. Največja dosežena odzivnost je znašalalO mV/pJ, minimalna zaznana energija zunanje motnje pa 170 fJ. Pri električnih meritvah s Ti-safirjevim laserjem smo ugotovili, da in-trinsični odzivni čas supraprevodnih struktur presega sposobnosti našega merilnega sistema. Minimalni izmerjeni dvižni čas (tr = 77 ps) je namreč ustrezal zgornji frekvenčni meji (4.2 GHz) uporabljene elektronike. Optične meritve smo izvajali z meritvijo spremembe transmisije vzorca, ki jo povzroči zunanja energijska motnja. Uporabljali smo svetlobni sunek iz Ti-safirjevega laserja razdeljen v dva dela, pri čemer je prvi služil za vzbujanje motnje, z drugim šibkejšim in primerno zakasnjenim pa smo merili spremembo optične prepustnosti. Merilnemu sistemu lasten dvižni čas je znašal 220 fs, frekvenca vzorčenja pa 7.5 THz. Izmerjeni dvižni čas supraprevodnika na zunanjo energijsko motnjo je znašal 730 fs, v usihanju signala pa smo ponovno zasledili dve komponenti. Hitro, s časovno konstanto 2.5 ps smo pripisali klasičnemu procesu re-laksacije nosilcev nazaj v Cooper-jeve pare. Počasna pa nakazuje vztrajanje elektronov v normalnem stanju še dolgo po tem, ko energijske motnje ni več. Odzive izmerjene po električni in optični metodi smo interpretirali z bolometričnim učinkom, prispevkom zaradi toka vrtinčnih niti ter kinetično induktanco. Ugotovili smo, da je rezistivna komponenta, ki nastane zaradi premikanja vrtinčnih niti pod vplivom Lorentz-ove sile, v naših merilnih razmerah zanemarljiva. Glavnino signala smo pripisali bolometričnemu učinku, ki je posledica hitrega spreminjanja upornosti mostička v bližini kritične temperature. Značilen preskok na negativno stran pa smo pojasnili s kinetično induktanco, pri čemer smo predpostavili zadrževanje dobršnega dela normalnih nosilcev v lokaliziranih stanjih. Rezultat je skladen z izsledki nekaterih raziskovalnih skupin, do katerih so prišle po drugačnih metodah. 63 In formacije MIDEM 28(1998)1, Ljubljana VESTI - NEWS News from AMS February 16,1998 ALM and Austria Mikro Systeme announce new High Performance ASIC Design Kit using a Windows Platform ALM, Avancerade Logik Maskiner AB, of Motala, Sweden and Austria Mikro Systeme announce the immediate availability of a new high performance MicroSim PSpice based design kit for the Austria Mikro Systeme 0.8 (i CMOS digital standard cell library. It also includes analogue simulation features for the design of ASICs. The kit is ideal for designers new to ASIC design because of its use of Pspice, one of the most common simulators. Low power consumption, high speed, noise immunity and applicability to a wide range of communications, automotive and industrial design requirements are the key benefits of this advanced 0.8 ¡j. CMOS process. With this design kit together with PSpice DesignLab A/D customers are able to complete frontend ASICs designs by themselves and even to perform mixed mode simulations of the complete system (ASIC and PCB with external components). For the full version of the ALM Design Kit the following is recommended: - Pentium Pro 200 MHz, 32 MB RAM, Windows 95 or Windows NT and at least 100 MB of free hard disk space - Pspice A/D (not the BASIC + version). Further versions of Austria Mikro Systeme libraries, such as for the Austria Mikro Systeme 0.6 (a. standard cell process will be available soon. For further information please contact your local Sales Office or Austria Mikro Systeme, Corporate Communications, A-8141 Unterpremstâtten or ALM, P.O. Box 285, 59123 Motala, Sweden, http://www.alma.se. http://www.vertical-global.com News from CMP CMP introducing .25 jll CMOS In cooperation with SGS-Thomson Microelectronics, CMP is introducing a high performance deep submi-cron .25 |i CMOS process from SGS-Thomson (Crolles). The HCMOS7 process has the following features: • Gate length (0.25 ¡i drawn, 0.2 effective). • Shallow trench isolation process. • Up to 6 levels metal layers with fully stackable contacts and vias. • Power supply: 2.5 V. • Threshold voltage: VTN = 0.5 V, VTP = - 0.5 V. • Ion: TN @ 2.5 V : 600 nA/jim • Ion: TP @ 2.5 V : 300 |iA/(j.m Design kits are supported under Cadence, Synopsys and Eldo. Full custom designs are supported using Virtuoso layout editor and LAS synthesizer. The layout verifications (DRC, ERC, extraction, LVS) are fully supported for Diva and Dracula. Transistor-level simulations are only supported under Eldo Level 59. Standard-cell designs are supported using Ver-ilogNHDL descriptions for synthesis and simulation. Synthesis is supported under Synergy or Synopsys. Simulation is supported under Verilog-XL, Leapfrog and VSS. The automatic place & route is supported under Cell3. The current supported CAD software versions are: Cadence/OPUS version 4.3.4.50.106 Cadence/Dracula version 4.3.0996 Eldo version 4.4.1 This process is available for prototyping to Education Institutions and Research Laboratories, on a cooperation basis. No commercial designs are accepted at this early stage. It is expected that later on, the process will be available on a commercial basis for small volume production to Education Institutions, Research Laboratories and specified Companies. A .18 ji process would then be made available for Education and Research. The cost for prototyping is 3.000 FF/mm2 (5 packaged circuits, at least 10 unpackaged circuits). It is expected that micromachining options will be offered later as well. Circuits Multi-Projets, 46 avenue Felix Viallet 38031 Grenoble Cedex France Tel. : +33 4 76 57 48 04 Fax: +33 4 76 47 3814 E.mail : cmp @archi. imag. fr WWW: hnp:lltima-cmp. imag.fr 64 In formacije MIDEM 28(1998)1, Ljubljana 17 February 1998 , .. , J The Institution of Electrical Engineers iztok Sorli Michael Faraday House MSE/MIDEM Professional Society for Microelectronics r Mis way „ . » . , . , Stevenage, Hertfordshire Electronic Components and Materials United Kingdom SG12AY 61000 Ljubljana Tel: 4-44 (0)1438 313311 Duiiajska 10 Fax: +44(0)1438 313465 Slovenija 101297 Dear Sir/Madam REVIEW COPIES Thank you for providing review copies of your publications for inclusion in the INSPEC Database, Please find below the abstract for the following conference proceedings: 33rd International Conference on Microelectronics, Devices and Materials. MIDEM Conference '97. Proceedings Gozd MariuSjek, Slovenia (24-26 Sept. 1997) ISBN: 961 90001 5 3 Eds: Kosec, M.; Anion, S.; Sorli, L The following topics were dealt with: ceramics, metals and composites; integrated circuit technology and devices; thin films; device physics; (hick films; optoelectronics; and sensors Io addition to its inclusion in the INSPEC Database the above abstract will appear in one or more of Physics Abstracts, Electrical & Electronics Abstracts and Computer & Control Abstracts. If you wish to know more about the INSPEC services please visit our home page at http://www.iee.org.uk/pubIisIi/inspec.htmI Yours faithfully P M G Merton (Mrs) Head, Acquisitions INSPEC Database Division 65 In formacije MIDEM 28(1998)1, Ljubljana IZOBRAŽEVALNI PROGRAM Društva za vakuumsko tehniko Slovenije -1998 Vse uporabnike vakuumske tehnike in druge interesente obveščamo, da so v letu 1998 predvideni naslednji strokovnoizobraževalni tečaji: 1. NETESNOST SISTEMOV IN NAPRAV 15.-16. april In 14.-15. oktober Vse več je izdelkov in tehnologij, kjer hermetičnost posod in cevnih sistemov igra pomembno vlogo, npr: hladilni agregati, posodice za aerosole, prehrambne konzerve, specialni ventili, hermetično zaprte elektronske komponente, v reaktorski tehniki, srčni vzpodbu-jevalci, tesnila, platišča avtomobilskih koles, itd. V tehniški praksi se pri vzdrževanju nadtlaka oz. podtlaka vedno pogosteje srečujemo s predpisi o preverjanju tesnosti (zahteve po kakovosti proizvodov, ISO 9000 itd) in s tozadevnimi postopki. S tečajem jih želimo predstaviti in približati uporabniku. Vsebina predstavitve, ki obsega 9 ur predavanj in demonstracij, je naslednja: teorija črpanja, osnove vakuumskih merilnikov in opredelitev velikosti puščanja; materiali, vrste spojev in tehnologije spajanja; metode iskanja netesnih mest; iskanje netesnosti s helijevim iskalnikom netesnosti; vrste netesnosti in njih odpravljanje oz. pre ventiva; kontrola tesnosti kot osnova za kvaliteto proizvodov, standardi s področja netesnosti ter primeri iz domače in tuje prakse. Cena tečaja je 20.000 SIT. Udeleženci prejmejo zbornik predavanj "Osnove vakuumske tehnike" in potrdilo o opravljenem tečaju. Za topli obrok, kavo in sok bo poskrbljeno. 2. VZDRŽEVANJE VAKUUMSKIH NAPRAV 2.-4. junij in 17.-19. november 1998 Pod tem naslovom se obravnava predvsem tematika, ki jo srečujemo v tehniki grobega vakuuma. To je: delovanje, vzdrževanje in popravila rotacijskih črpalk, pregled In uporaba različnih črpalk, ventilov in drugih elementov, meritve vakuuma, osnove odkrivanje netesnosti v vakuumskih sistemih, materiali za popravila, tehnike čiščenja in spajanja, skupno 20 šolskih ur, od tega tretjina praktičnih prikazov in vaj. Cena tečaja je 32.000 SIT. Vsak tečajnik bo prejel tudi brošuro "Osnove vakuumske tehnike za vzdrževalce naprav" in potrdilo o opravljenem tečaju. Za topli obrok, kavo in sok bo poskrbljeno. 3. OSNOVE VAKUUMSKE TEHNIKE 2.4. junij ter 17.19. november 1998 Pri tem tečaju je večji poudarek na teoretičnem razumevanju snovi. Obravnava so vsa področja, omenjena v tečaju za vzdrževalce in poleg tega še: pomen in razvoj vakuumske tehnike, fizikalne osnove, črpalke za visoki vakuum, tankoplastne in druge vakuumske tehnologije, čisti postopki, analize površin ter doziranje, čiščenje in preiskave plinov, skupno 26 šolskih ur z vajami in ogledom Inštituta za elektroniko in vakuumsko tehniko. Cena tečaja je 38.000 SIT. Udeleženci prejmejo zbornik predavanj "Osnove vakuumske tehnike" in potrdilo o opravljenem tečaju. Za topli obrok, kavo in sok bo poskrbljeno. 4. Tečaji "Osnove vakuumske tehnike za srednješolske predavatelje" (namenjeni za popestritev pouka fizike in tehničnih znanj na srednjih in višjih šolah) bodo ob petkih in sobotah, predvidoma 12.-14. marca, 23.-25. aprila, 10.-12. sept. in 24.-26. septembra 1998. Podroben razpis zanje je objavljen v Katalogu izobraževalnih programov MŠS 97/98. Vsi tečaji se pričnejo ob 8.00 uri v knjižnici Inštituta za elektroniko in vakuumsko tehniko, Teslova 30, Ljubljana. Podrobnosti o tečajih najdete tudi na internetu. Prosimo vse, ki jih vsebina zanima, da se informativno javijo čim prej; za dokončno potrdilo udeležbe pa velja kopija položnice o plačilu, najkasneje tri dni pred pričetkom tečaja, na naslov: Društvo za vakuumsko tehniko Slovenije, Teslova 30, 1111 Ljubljana (št. žiro računa: 50101-678-52240). Prijave sprejema organizacijski odbor (Koller, Spruk, Mozetič, Nemanič), ki daje tudi vsa dodatna pojasnila (tel. 061 177-66-00, 126-45-92). V primeru premajhnega števila kandidatov tečaj odpade, interesenti bodo povabljeni na naslednji rok. PRIJAVNICA Podpisani...............................................zaposlen v..................................................na del. mestu................................. naslov................................................................................................................................................................................. telefon:.........................................fax:..............................................e.mail:...................................................................... se zanimam za izobraževalni tečaj: 12 3 4 (najraje v terminu.............................................................) Pripombe:.......................................................................................................................................................................... ..............................................................................................Datum.........................Podpis............................................... 66 In formacije MIDEM 28(1998)1, Ljubljana KOLEDAR PRIREDITEV 1998 CALENDAR OF EVENTS JULY 1998 07.07.98 - 09.07.98 ATW, NEXT GENERATION PACKAGING DESIGN II Hilton Head, SC, USA Info.: 703/758-1060 13.07.1998 SEMICONDUCTOR PROCESSING OVERVIEW COURSE San Francisco, CA, USA Info.: 800/541-7149 13.07.98-15.07.98 3rd ANNUAL SYMPOSIUM ON CONTAMINATION- FREE MANUFACTURING IN SEMICONDUCTOR INDUSTRY(CFM) San Francisco, CA, USA Info.: 415/617-4105 13.07.98 -17.07.98 SEMICON WEST 98 San Jose, San Francisco, CA, USA Info.: 415/940-7998 27.07.98 - 30.07.98 SEMICONDUCTOR MANUFACTURING PROCESS DESIGN Monterey, CA, USA Info.: 818/995-6335 AUGUST 1998 17.08.98-20.08.98 THIRD INTERNATIONAL ELECTRONIC PACKAGING TECHNOLOGY SYMPOSIUM AND EXIBITION Beijing, CHINA Info.: 703/758 -1060 SEPTEMBER 1998 08.09.98 -10.09.98 28 th EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 98) Bordeaux, FRANCE Info.: www.essderc.org 16.09.98-18.09.98 HW, SW CODESIGN, MEDEA/ESPRIT CONFERENCE Grenoble, FRANCE Info.: +33 476 57 47 59 23.09.98 - 25.09.98 MIDEM CONFERENCE 98 Rogaška Slatina, SLOVENIJA Info.: + 386 61312 898 27.09.98 - 29.09.98 4th INTERNATIONAL WORKSHOP ON THERMAL INVESTIGATION OF IC"s AND MICROSTRUCTURES Cannes, Cote d'Azur, FRANCE Info.: +33 4 76 57 48 04 OCTOBER 1998 20.10.98-22.10.98 INTERNATIONAL TEST CONFERENCE 1998 Washington DC, USA Info.: fax 202/3310111 67 In formacije MIDEM 28(1998)1, Ljubljana NAVODILA AVTORJEM Informacije MIDEM je znanstveno-strokovno-društvena publikacija Strokovnega društva za mikroelektroniko, elektronske sestavne dele in materiale - MIDEM. Časopis objavlja prispevke domačih in tujih avtorjev, še posebej članov MIDEM, s področja mikroelektronike, elektronskih sestavnih delov in materialov, ki so lahko: izvirni znanstveni članki, predhodna sporočila, pregledni članki, razprave z znanstvenih in strokovnih posvetovanj in strokovni članki. Članki bodo recenzirani. Časopis objavlja tudi novice iz stroke, vesti iz delovnih organizacij, inštitutov in fakultet, obvestila o akcijah društva MIDEM in njegovih članov ter druge relevantne prispevke. Strokovni prispevki morajo biti pripravljeni na naslednji način 1. Naslov dela, imena in priimki avtorjev brez titul. 2. Ključne besede in povzetek (največ 250 besed). 3. Naslov dela v angleščini. 4. Ključne besede v angleščini (Key words) in podaljšani povzetek (Extended Abstract) v angleščini. 5. Uvod, glavni del, zaključek, zahvale, dodatki in literatura. 6. Imena in priimki avtorjev, titule in naslovi delovnih organizacij, v katerih so zaposleni ter tel./Fax/Email podatki. INFORMATION FOR CONTRIBUTORS Informacije MIDEM is professional-scientific-social publication of Professional Society for Microelectronics, Electronic Components and Materials. In the Journal contributions of domestic and foreign authors, especially members of MIDEM, are published covering field of microelectronics, electronic components and materials. These contributions may be: original scientific papers, preliminary communications, reviews, conference papers and professional papers. All manuscripts are subject to reviews. Scientific news, news from the companies, institutes and universities, reports on actions of MIDEM Society and its members as well as other relevant contributions are also welcome. Each contribution should include the following specific components: 1. Title of the paper and authors'1 names. 2. Key Words and Abstract (not more than 250 words). 3. Introduction, main text, conclusion, acknowledgements, appendix and references. 4. Authors'' names, titles and complete company or institution address including Tel./Fax/Email. Ostala splošna navodila 1. V članku je potrebno uporabljati SI sistem enot oz. v oklepaju navesti alternativne enote. 2. Risbe jev potrebno izdelati ali iztiskati na belem papirju. Širina risb naj bo do 7.5 oz.15 cm. Vsaka risba, tabela ali fotografija naj ima številko in podnapis, ki označuje njeno vsebino. Risb, tabel in fotografij ni potrebno lepiti med tekst, ampak jih je potrebno ločeno priložiti članku. V tekstu je treba označiti mesto, kjer jih je potrebno vstaviti. 3. Delo je lahko napisano in bo objavljeno v kateremkoli bivšem jugoslovanskem jeziku v latinici in v angleščini. Uredniški odbor ne bo sprejel strokovnih člankov, ki ne bodo poslani v dveh izvodih. Avtorji, ki pripravljajo besedilo v urejevalnikih besedil, lahko pošljejo zapis datoteke na disketi (5.25" /1.2 MB/ ali 3.5" /1.44 MB/) v formatih ASCII ali Word for Windows 6.0, ker bo besedilo oblikovano v programu Ventura 5.0. Grafične datoteke so lahko v formatu TIFF, PCX, GEM ali HPL, SLD (AutoCAD). Avtorji so v celoti odgovorni za vsebino objavljenega sestavka. Rokopisov ne vračamo. Rokopise pošljite na naslov Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10,1000 Ljubljana General information 1. Authors should use SI units and provide alternative units in parentheses wherever necessary. 2. Illustrations should be in black on white paper. Their width should be up to 7.5 or 15 cm. Each illustration, table or photograph should be numbered and with legend added. Illustrations, tables and photographs are not to be placed into the text but added separately. Hower, their position in the text should be clearly marked. 3. Contributions may be written and will be published in any former Yugoslav language and in English. Authors may send their files on formatted diskettes (5.25" /1.2 MB/ or 3.5" /1.44 MB/) in ASCII or Word for Windows 6.0 Format as text will be formatted in Ventura 5.0. Graphics may be in TIFF, PCX, GEM or HPL, SLD (AutoCAD) formats. Papers will not be accepted unless two copies are received. Authors are fully responsible for the content of the paper. Manuscripts are not returned. Contributions are to be sent to the address: Uredništvo Informacije MIDEM Elektrotehniška zveza Slovenije Dunajska 10, 1000 Ljubljana, Slovenia 68